Fix xilinx tests, when iopads are default
[yosys.git] / CHANGELOG
1
2 List of major changes and improvements between releases
3 =======================================================
4
5
6 Yosys 0.9 .. Yosys 0.9-dev
7 --------------------------
8
9 * Various
10 - Added "write_xaiger" backend
11 - Added "abc9" pass for timing-aware techmapping (experimental, FPGA only, no FFs)
12 - Added "synth_xilinx -abc9" (experimental)
13 - Added "synth_ice40 -abc9" (experimental)
14 - Added "synth -abc9" (experimental)
15 - Added "script -scriptwire"
16 - Added "synth_xilinx -nocarry"
17 - Added "synth_xilinx -nowidelut"
18 - Added "synth_ecp5 -nowidelut"
19 - "synth_xilinx" to now infer wide multiplexers (-widemux <min> to enable)
20 - Renamed labels/options in synth_ice40 (e.g. dram -> map_lutram; -nodram -> -nolutram)
21 - Renamed labels/options in synth_ecp5 (e.g. dram -> map_lutram; -nodram -> -nolutram)
22 - Renamed labels in synth_intel (e.g. bram -> map_bram)
23 - Renamed labels/options in synth_xilinx (e.g. dram -> map_lutram; -nodram -> -nolutram)
24 - Added automatic gzip decompression for frontends
25 - Added $_NMUX_ cell type
26 - Added automatic gzip compression (based on filename extension) for backends
27 - Improve attribute and parameter encoding in JSON to avoid ambiguities between
28 bit vectors and strings containing [01xz]*
29 - Added "clkbufmap" pass
30 - Added "extractinv" pass and "invertible_pin" attribute
31 - Added "synth_xilinx -family xc6s" for Spartan 6 support (experimental)
32 - Added "synth_xilinx -ise" (experimental)
33 - Added "synth_xilinx -iopad"
34 - "synth_xilinx" now automatically inserts clock buffers (add -noclkbuf to disable)
35 - Improvements in pmgen: subpattern and recursive matches
36 - Added "opt_share" pass, run as part of "opt -full"
37 - Added "ice40_wrapcarry" to encapsulate SB_LUT+SB_CARRY pairs for techmapping
38 - Removed "ice40_unlut"
39 - Improvements in pmgen: slices, choices, define, generate
40 - Added "xilinx_srl" for Xilinx shift register extraction
41 - Removed "shregmap -tech xilinx" (superseded by "xilinx_srl")
42 - Added "_TECHMAP_WIREINIT_*_" attribute and "_TECHMAP_REMOVEINIT_*_" wire for "techmap" pass
43 - Added "-match-init" option to "dff2dffs" pass
44 - Added "techmap_autopurge" support to techmap
45 - Added "add -mod <modname[s]>"
46 - Added +/mul2dsp.v for decomposing wide multipliers to custom-sized ones
47 - Added "ice40_dsp" for Lattice iCE40 DSP packing
48 - Added "xilinx_dsp" for Xilinx DSP packing
49 - "synth_xilinx" to now infer DSP blocks (-nodsp to disable)
50 - "synth_ecp5" to now infer DSP blocks (-nodsp to disable, experimental)
51 - "synth_ice40 -dsp" to infer DSP blocks
52 - Added latch support to synth_xilinx
53 - Added support for flip-flops with synchronous reset to synth_xilinx
54 - Added support for flip-flops with reset and enable to synth_xilinx
55 - Added "check -mapped"
56 - Added checking of SystemVerilog always block types (always_comb,
57 always_latch and always_ff)
58 - Added "xilinx_dffopt" pass
59 - Added "scratchpad" pass
60
61 Yosys 0.8 .. Yosys 0.9
62 ----------------------
63
64 * Various
65 - Many bugfixes and small improvements
66 - Added support for SystemVerilog interfaces and modports
67 - Added "write_edif -attrprop"
68 - Added "opt_lut" pass
69 - Added "gate2lut.v" techmap rule
70 - Added "rename -src"
71 - Added "equiv_opt" pass
72 - Added "flowmap" LUT mapping pass
73 - Added "rename -wire" to rename cells based on the wires they drive
74 - Added "bugpoint" for creating minimised testcases
75 - Added "write_edif -gndvccy"
76 - "write_verilog" to escape Verilog keywords
77 - Fixed sign handling of real constants
78 - "write_verilog" to write initial statement for initial flop state
79 - Added pmgen pattern matcher generator
80 - Fixed opt_rmdff handling of $_DFFSR_???_ and $_DLATCHSR_???_
81 - Added "setundef -params" to replace undefined cell parameters
82 - Renamed "yosys -D" to "yosys -U", added "yosys -D" to set Verilog defines
83 - Fixed handling of defparam when default_nettype is none
84 - Fixed "wreduce" flipflop handling
85 - Fixed FIRRTL to Verilog process instance subfield assignment
86 - Added "write_verilog -siminit"
87 - Several fixes and improvements for mem2reg memories
88 - Fixed handling of task output ports in clocked always blocks
89 - Improved handling of and-with-1 and or-with-0 in "opt_expr"
90 - Added "read_aiger" frontend
91 - Added "mutate" pass
92 - Added "hdlname" attribute
93 - Added "rename -output"
94 - Added "read_ilang -lib"
95 - Improved "proc" full_case detection and handling
96 - Added "whitebox" and "lib_whitebox" attributes
97 - Added "read_verilog -nowb", "flatten -wb" and "wbflip"
98 - Added Python bindings and support for Python plug-ins
99 - Added "pmux2shiftx"
100 - Added log_debug framework for reduced default verbosity
101 - Improved "opt_expr" and "opt_clean" handling of (partially) undriven and/or unused wires
102 - Added "peepopt" peephole optimisation pass using pmgen
103 - Added approximate support for SystemVerilog "var" keyword
104 - Added parsing of "specify" blocks into $specrule and $specify[23]
105 - Added support for attributes on parameters and localparams
106 - Added support for parsing attributes on port connections
107 - Added "wreduce -keepdc"
108 - Added support for optimising $dffe and $_DFFE_* cells in "opt_rmdff"
109 - Added Verilog wand/wor wire type support
110 - Added support for elaboration system tasks
111 - Added "muxcover -mux{4,8,16}=<cost>"
112 - Added "muxcover -dmux=<cost>"
113 - Added "muxcover -nopartial"
114 - Added "muxpack" pass
115 - Added "pmux2shiftx -norange"
116 - Added support for "~" in filename parsing
117 - Added "read_verilog -pwires" feature to turn parameters into wires
118 - Fixed sign extension of unsized constants with 'bx and 'bz MSB
119 - Fixed genvar to be a signed type
120 - Added support for attributes on case rules
121 - Added "upto" and "offset" to JSON frontend and backend
122 - Several liberty file parser improvements
123 - Fixed handling of more complex BRAM patterns
124 - Add "write_aiger -I -O -B"
125
126 * Formal Verification
127 - Added $changed support to read_verilog
128 - Added "read_verilog -noassert -noassume -assert-assumes"
129 - Added btor ops for $mul, $div, $mod and $concat
130 - Added yosys-smtbmc support for btor witnesses
131 - Added "supercover" pass
132 - Fixed $global_clock handling vs autowire
133 - Added $dffsr support to "async2sync"
134 - Added "fmcombine" pass
135 - Added memory init support in "write_btor"
136 - Added "cutpoint" pass
137 - Changed "ne" to "neq" in btor2 output
138 - Added support for SVA "final" keyword
139 - Added "fmcombine -initeq -anyeq"
140 - Added timescale and generated-by header to yosys-smtbmc vcd output
141 - Improved BTOR2 handling of undriven wires
142
143 * Verific support
144 - Enabled Verific flags vhdl_support_variable_slice and veri_elaborate_top_level_modules_having_interface_ports
145 - Improved support for asymmetric memories
146 - Added "verific -chparam"
147 - Fixed "verific -extnets" for more complex situations
148 - Added "read -verific" and "read -noverific"
149 - Added "hierarchy -chparam"
150
151 * New back-ends
152 - Added initial Anlogic support
153 - Added initial SmartFusion2 and IGLOO2 support
154
155 * ECP5 support
156 - Added "synth_ecp5 -nowidelut"
157 - Added BRAM inference support to "synth_ecp5"
158 - Added support for transforming Diamond IO and flipflop primitives
159
160 * iCE40 support
161 - Added "ice40_unlut" pass
162 - Added "synth_ice40 -relut"
163 - Added "synth_ice40 -noabc"
164 - Added "synth_ice40 -dffe_min_ce_use"
165 - Added DSP inference support using pmgen
166 - Added support for initialising BRAM primitives from a file
167 - Added iCE40 Ultra RGB LED driver cells
168
169 * Xilinx support
170 - Use "write_edif -pvector bra" for Xilinx EDIF files
171 - Fixes for VPR place and route support with "synth_xilinx"
172 - Added more cell simulation models
173 - Added "synth_xilinx -family"
174 - Added "stat -tech xilinx" to estimate logic cell usage
175 - Added "synth_xilinx -nocarry"
176 - Added "synth_xilinx -nowidelut"
177 - "synth_xilinx" to now infer hard shift registers (-nosrl to disable)
178 - Added support for mapping RAM32X1D
179
180 Yosys 0.7 .. Yosys 0.8
181 ----------------------
182
183 * Various
184 - Many bugfixes and small improvements
185 - Strip debug symbols from installed binary
186 - Replace -ignore_redef with -[no]overwrite in front-ends
187 - Added write_verilog hex dump support, add -nohex option
188 - Added "write_verilog -decimal"
189 - Added "scc -set_attr"
190 - Added "verilog_defines" command
191 - Remember defines from one read_verilog to next
192 - Added support for hierarchical defparam
193 - Added FIRRTL back-end
194 - Improved ABC default scripts
195 - Added "design -reset-vlog"
196 - Added "yosys -W regex", "yosys -w regex", and "yosys -e regex"
197 - Added Verilog $rtoi and $itor support
198 - Added "check -initdrv"
199 - Added "read_blif -wideports"
200 - Added support for SystemVerilog "++" and "--" operators
201 - Added support for SystemVerilog unique, unique0, and priority case
202 - Added "write_edif" options for edif "flavors"
203 - Added support for resetall compiler directive
204 - Added simple C beck-end (bitwise combinatorical only atm)
205 - Added $_ANDNOT_ and $_ORNOT_ cell types
206 - Added cell library aliases to "abc -g"
207 - Added "setundef -anyseq"
208 - Added "chtype" command
209 - Added "design -import"
210 - Added "write_table" command
211 - Added "read_json" command
212 - Added "sim" command
213 - Added "extract_fa" and "extract_reduce" commands
214 - Added "extract_counter" command
215 - Added "opt_demorgan" command
216 - Added support for $size and $bits SystemVerilog functions
217 - Added "blackbox" command
218 - Added "ltp" command
219 - Added support for editline as replacement for readline
220 - Added warnings for driver-driver conflicts between FFs (and other cells) and constants
221 - Added "yosys -E" for creating Makefile dependencies files
222 - Added "synth -noshare"
223 - Added "memory_nordff"
224 - Added "setundef -undef -expose -anyconst"
225 - Added "expose -input"
226 - Added specify/specparam parser support (simply ignore them)
227 - Added "write_blif -inames -iattr"
228 - Added "hierarchy -simcheck"
229 - Added an option to statically link abc into yosys
230 - Added protobuf back-end
231 - Added BLIF parsing support for .conn and .cname
232 - Added read_verilog error checking for reg/wire/logic misuse
233 - Added "make coverage" and ENABLE_GCOV build option
234
235 * Changes in Yosys APIs
236 - Added ConstEval defaultval feature
237 - Added {get,set}_src_attribute() methods on RTLIL::AttrObject
238 - Added SigSpec::is_fully_ones() and Const::is_fully_ones()
239 - Added log_file_warning() and log_file_error() functions
240
241 * Formal Verification
242 - Added "write_aiger"
243 - Added "yosys-smtbmc --aig"
244 - Added "always <positive_int>" to .smtc format
245 - Added $cover cell type and support for cover properties
246 - Added $fair/$live cell type and support for liveness properties
247 - Added smtbmc support for memory vcd dumping
248 - Added "chformal" command
249 - Added "write_smt2 -stbv" and "write_smt2 -stdt"
250 - Fix equiv_simple, old behavior now available with "equiv_simple -short"
251 - Change to Yices2 as default SMT solver (it is GPL now)
252 - Added "yosys-smtbmc --presat" (now default in SymbiYosys)
253 - Added "yosys-smtbmc --smtc-init --smtc-top --noinit"
254 - Added a brand new "write_btor" command for BTOR2
255 - Added clk2fflogic memory support and other improvements
256 - Added "async memory write" support to write_smt2
257 - Simulate clock toggling in yosys-smtbmc VCD output
258 - Added $allseq/$allconst cells for EA-solving
259 - Make -nordff the default in "prep"
260 - Added (* gclk *) attribute
261 - Added "async2sync" pass for single-clock designs with async resets
262
263 * Verific support
264 - Many improvements in Verific front-end
265 - Added proper handling of concurent SVA properties
266 - Map "const" and "rand const" to $anyseq/$anyconst
267 - Added "verific -import -flatten" and "verific -import -extnets"
268 - Added "verific -vlog-incdir -vlog-define -vlog-libdir"
269 - Remove PSL support (because PSL has been removed in upstream Verific)
270 - Improve integration with "hierarchy" command design elaboration
271 - Added YOSYS_NOVERIFIC for running non-verific test cases with verific bin
272 - Added simpilied "read" command that automatically uses verific if available
273 - Added "verific -set-<severity> <msg_id>.."
274 - Added "verific -work <libname>"
275
276 * New back-ends
277 - Added initial Coolrunner-II support
278 - Added initial eASIC support
279 - Added initial ECP5 support
280
281 * GreenPAK Support
282 - Added support for GP_DLATCH, GP_SPI, GP_DCMx, GP_COUNTx, etc.
283
284 * iCE40 Support
285 - Add "synth_ice40 -vpr"
286 - Add "synth_ice40 -nodffe"
287 - Add "synth_ice40 -json"
288 - Add Support for UltraPlus cells
289
290 * MAX10 and Cyclone IV Support
291 - Added initial version of metacommand "synth_intel".
292 - Improved write_verilog command to produce VQM netlist for Quartus Prime.
293 - Added support for MAX10 FPGA family synthesis.
294 - Added support for Cyclone IV family synthesis.
295 - Added example of implementation for DE2i-150 board.
296 - Added example of implementation for MAX10 development kit.
297 - Added LFSR example from Asic World.
298 - Added "dffinit -highlow" for mapping to Intel primitives
299
300
301 Yosys 0.6 .. Yosys 0.7
302 ----------------------
303
304 * Various
305 - Added "yosys -D" feature
306 - Added support for installed plugins in $(DATDIR)/plugins/
307 - Renamed opt_const to opt_expr
308 - Renamed opt_share to opt_merge
309 - Added "prep -flatten" and "synth -flatten"
310 - Added "prep -auto-top" and "synth -auto-top"
311 - Using "mfs" and "lutpack" in ABC lut mapping
312 - Support for abstract modules in chparam
313 - Cleanup abstract modules at end of "hierarchy -top"
314 - Added tristate buffer support to iopadmap
315 - Added opt_expr support for div/mod by power-of-two
316 - Added "select -assert-min <N> -assert-max <N>"
317 - Added "attrmvcp" pass
318 - Added "attrmap" command
319 - Added "tee +INT -INT"
320 - Added "zinit" pass
321 - Added "setparam -type"
322 - Added "shregmap" pass
323 - Added "setundef -init"
324 - Added "nlutmap -assert"
325 - Added $sop cell type and "abc -sop -I <num> -P <num>"
326 - Added "dc2" to default ABC scripts
327 - Added "deminout"
328 - Added "insbuf" command
329 - Added "prep -nomem"
330 - Added "opt_rmdff -keepdc"
331 - Added "prep -nokeepdc"
332 - Added initial version of "synth_gowin"
333 - Added "fsm_expand -full"
334 - Added support for fsm_encoding="user"
335 - Many improvements in GreenPAK4 support
336 - Added black box modules for all Xilinx 7-series lib cells
337 - Added synth_ice40 support for latches via logic loops
338 - Fixed ice40_opt lut unmapping, added "ice40_opt -unlut"
339
340 * Build System
341 - Added ABCEXTERNAL and ABCURL make variables
342 - Added BINDIR, LIBDIR, and DATDIR make variables
343 - Added PKG_CONFIG make variable
344 - Added SEED make variable (for "make test")
345 - Added YOSYS_VER_STR make variable
346 - Updated min GCC requirement to GCC 4.8
347 - Updated required Bison version to Bison 3.x
348
349 * Internal APIs
350 - Added ast.h to exported headers
351 - Added ScriptPass helper class for script-like passes
352 - Added CellEdgesDatabase API
353
354 * Front-ends and Back-ends
355 - Added filename glob support to all front-ends
356 - Added avail (black-box) module params to ilang format
357 - Added $display %m support
358 - Added support for $stop Verilog system task
359 - Added support for SystemVerilog packages
360 - Fixed procedural assignments to non-unique lvalues, e.g. {y,y} = {a,b}
361 - Added support for "active high" and "active low" latches in read_blif and write_blif
362 - Use init value "2" for all uninitialized FFs in BLIF back-end
363 - Added "read_blif -sop"
364 - Added "write_blif -noalias"
365 - Added various write_blif options for VTR support
366 - write_json: also write module attributes.
367 - Added "write_verilog -nodec -nostr -defparam"
368 - Added "read_verilog -norestrict -assume-asserts"
369 - Added support for bus interfaces to "read_liberty -lib"
370 - Added liberty parser support for types within cell decls
371 - Added "write_verilog -renameprefix -v"
372 - Added "write_edif -nogndvcc"
373
374 * Formal Verification
375 - Support for hierarchical designs in smt2 back-end
376 - Yosys-smtbmc: Support for hierarchical VCD dumping
377 - Added $initstate cell type and vlog function
378 - Added $anyconst and $anyseq cell types and vlog functions
379 - Added printing of code loc of failed asserts to yosys-smtbmc
380 - Added memory_memx pass, "memory -memx", and "prep -memx"
381 - Added "proc_mux -ifx"
382 - Added "yosys-smtbmc -g"
383 - Deprecated "write_smt2 -regs" (by default on now)
384 - Made "write_smt2 -bv -mem" default, added "write_smt2 -nobv -nomem"
385 - Added support for memories to smtio.py
386 - Added "yosys-smtbmc --dump-vlogtb"
387 - Added "yosys-smtbmc --smtc --dump-smtc"
388 - Added "yosys-smtbmc --dump-all"
389 - Added assertpmux command
390 - Added "yosys-smtbmc --unroll"
391 - Added $past, $stable, $rose, $fell SVA functions
392 - Added "yosys-smtbmc --noinfo and --dummy"
393 - Added "yosys-smtbmc --noincr"
394 - Added "yosys-smtbmc --cex <filename>"
395 - Added $ff and $_FF_ cell types
396 - Added $global_clock verilog syntax support for creating $ff cells
397 - Added clk2fflogic
398
399
400 Yosys 0.5 .. Yosys 0.6
401 ----------------------
402
403 * Various
404 - Added Contributor Covenant Code of Conduct
405 - Various improvements in dict<> and pool<>
406 - Added hashlib::mfp and refactored SigMap
407 - Improved support for reals as module parameters
408 - Various improvements in SMT2 back-end
409 - Added "keep_hierarchy" attribute
410 - Verilog front-end: define `BLACKBOX in -lib mode
411 - Added API for converting internal cells to AIGs
412 - Added ENABLE_LIBYOSYS Makefile option
413 - Removed "techmap -share_map" (use "-map +/filename" instead)
414 - Switched all Python scripts to Python 3
415 - Added support for $display()/$write() and $finish() to Verilog front-end
416 - Added "yosys-smtbmc" formal verification flow
417 - Added options for clang sanitizers to Makefile
418
419 * New commands and options
420 - Added "scc -expect <N> -nofeedback"
421 - Added "proc_dlatch"
422 - Added "check"
423 - Added "select %xe %cie %coe %M %C %R"
424 - Added "sat -dump_json" (WaveJSON format)
425 - Added "sat -tempinduct-baseonly -tempinduct-inductonly"
426 - Added "sat -stepsize" and "sat -tempinduct-step"
427 - Added "sat -show-regs -show-public -show-all"
428 - Added "write_json" (Native Yosys JSON format)
429 - Added "write_blif -attr"
430 - Added "dffinit"
431 - Added "chparam"
432 - Added "muxcover"
433 - Added "pmuxtree"
434 - Added memory_bram "make_outreg" feature
435 - Added "splice -wires"
436 - Added "dff2dffe -direct-match"
437 - Added simplemap $lut support
438 - Added "read_blif"
439 - Added "opt_share -share_all"
440 - Added "aigmap"
441 - Added "write_smt2 -mem -regs -wires"
442 - Added "memory -nordff"
443 - Added "write_smv"
444 - Added "synth -nordff -noalumacc"
445 - Added "rename -top new_name"
446 - Added "opt_const -clkinv"
447 - Added "synth -nofsm"
448 - Added "miter -assert"
449 - Added "read_verilog -noautowire"
450 - Added "read_verilog -nodpi"
451 - Added "tribuf"
452 - Added "lut2mux"
453 - Added "nlutmap"
454 - Added "qwp"
455 - Added "test_cell -noeval"
456 - Added "edgetypes"
457 - Added "equiv_struct"
458 - Added "equiv_purge"
459 - Added "equiv_mark"
460 - Added "equiv_add -try -cell"
461 - Added "singleton"
462 - Added "abc -g -luts"
463 - Added "torder"
464 - Added "write_blif -cname"
465 - Added "submod -copy"
466 - Added "dffsr2dff"
467 - Added "stat -liberty"
468
469 * Synthesis metacommands
470 - Various improvements in synth_xilinx
471 - Added synth_ice40 and synth_greenpak4
472 - Added "prep" metacommand for "synthesis lite"
473
474 * Cell library changes
475 - Added cell types to "help" system
476 - Added $meminit cell type
477 - Added $assume cell type
478 - Added $_MUX4_, $_MUX8_, and $_MUX16_ cells
479 - Added $tribuf and $_TBUF_ cell types
480 - Added read-enable to memory model
481
482 * YosysJS
483 - Various improvements in emscripten build
484 - Added alternative webworker-based JS API
485 - Added a few example applications
486
487
488 Yosys 0.4 .. Yosys 0.5
489 ----------------------
490
491 * API changes
492 - Added log_warning()
493 - Added eval_select_args() and eval_select_op()
494 - Added cell->known(), cell->input(portname), cell->output(portname)
495 - Skip blackbox modules in design->selected_modules()
496 - Replaced std::map<> and std::set<> with dict<> and pool<>
497 - New SigSpec::extend() is what used to be SigSpec::extend_u0()
498 - Added YS_OVERRIDE, YS_FINAL, YS_ATTRIBUTE, YS_NORETURN
499
500 * Cell library changes
501 - Added flip-flops with enable ($dffe etc.)
502 - Added $equiv cells for equivalence checking framework
503
504 * Various
505 - Updated ABC to hg rev 61ad5f908c03
506 - Added clock domain partitioning to ABC pass
507 - Improved plugin building (see "yosys-config --build")
508 - Added ENABLE_NDEBUG Makefile flag for high-performance builds
509 - Added "yosys -d", "yosys -L" and other driver improvements
510 - Added support for multi-bit (array) cell ports to "write_edif"
511 - Now printing most output to stdout, not stderr
512 - Added "onehot" attribute (set by "fsm_map")
513 - Various performance improvements
514 - Vastly improved Xilinx flow
515 - Added "make unsintall"
516
517 * Equivalence checking
518 - Added equivalence checking commands:
519 equiv_make equiv_simple equiv_status
520 equiv_induct equiv_miter
521 equiv_add equiv_remove
522
523 * Block RAM support:
524 - Added "memory_bram" command
525 - Added BRAM support to Xilinx flow
526
527 * Other New Commands and Options
528 - Added "dff2dffe"
529 - Added "fsm -encfile"
530 - Added "dfflibmap -prepare"
531 - Added "write_blid -unbuf -undef -blackbox"
532 - Added "write_smt2" for writing SMT-LIBv2 files
533 - Added "test_cell -w -muxdiv"
534 - Added "select -read"
535
536
537 Yosys 0.3.0 .. Yosys 0.4
538 ------------------------
539
540 * Platform Support
541 - Added support for mxe-based cross-builds for win32
542 - Added sourcecode-export as VisualStudio project
543 - Added experimental EMCC (JavaScript) support
544
545 * Verilog Frontend
546 - Added -sv option for SystemVerilog (and automatic *.sv file support)
547 - Added support for real-valued constants and constant expressions
548 - Added support for non-standard "via_celltype" attribute on task/func
549 - Added support for non-standard "module mod_name(...);" syntax
550 - Added support for non-standard """ macro bodies
551 - Added support for array with more than one dimension
552 - Added support for $readmemh and $readmemb
553 - Added support for DPI functions
554
555 * Changes in internal cell library
556 - Added $shift and $shiftx cell types
557 - Added $alu, $lcu, $fa and $macc cell types
558 - Removed $bu0 and $safe_pmux cell types
559 - $mem/$memwr WR_EN input is now a per-data-bit enable signal
560 - Added $_NAND_ $_NOR_ $_XNOR_ $_AOI3_ $_OAI3_ $_AOI4_ $_OAI4_
561 - Renamed ports of $lut cells (from I->O to A->Y)
562 - Renamed $_INV_ to $_NOT_
563
564 * Changes for simple synthesis flows
565 - There is now a "synth" command with a recommended default script
566 - Many improvements in synthesis of arithmetic functions to gates
567 - Multipliers and adders with many operands are using carry-save adder trees
568 - Remaining adders are now implemented using Brent-Kung carry look-ahead adders
569 - Various new high-level optimizations on RTL netlist
570 - Various improvements in FSM optimization
571 - Updated ABC to hg 5b5af75f1dda (from 2014-11-07)
572
573 * Changes in internal APIs and RTLIL
574 - Added log_id() and log_cell() helper functions
575 - Added function-like cell creation helpers
576 - Added GetSize() function (like .size() but with int)
577 - Major refactoring of RTLIL::Module and related classes
578 - Major refactoring of RTLIL::SigSpec and related classes
579 - Now RTLIL::IdString is essentially an int
580 - Added macros for code coverage counters
581 - Added some Makefile magic for pretty make logs
582 - Added "kernel/yosys.h" with all the core definitions
583 - Changed a lot of code from FILE* to c++ streams
584 - Added RTLIL::Monitor API and "trace" command
585 - Added "Yosys" C++ namespace
586
587 * Changes relevant to SAT solving
588 - Added ezSAT::keep_cnf() and ezSAT::non_incremental()
589 - Added native ezSAT support for vector shift ops
590 - Updated MiniSAT to git 37dc6c67e2 (from 2013-09-25)
591
592 * New commands (or large improvements to commands)
593 - Added "synth" command with default script
594 - Added "share" (finally some real resource sharing)
595 - Added "memory_share" (reduce number of ports on memories)
596 - Added "wreduce" and "alumacc" commands
597 - Added "opt -keepdc -fine -full -fast"
598 - Added some "test_*" commands
599
600 * Various other changes
601 - Added %D and %c select operators
602 - Added support for labels in yosys scripts
603 - Added support for here-documents in yosys scripts
604 - Support "+/" prefix for files from proc_share_dir
605 - Added "autoidx" statement to ilang language
606 - Switched from "yosys-svgviewer" to "xdot"
607 - Renamed "stdcells.v" to "techmap.v"
608 - Various bug fixes and small improvements
609 - Improved welcome and bye messages
610
611
612 Yosys 0.2.0 .. Yosys 0.3.0
613 --------------------------
614
615 * Driver program and overall behavior:
616 - Added "design -push" and "design -pop"
617 - Added "tee" command for redirecting log output
618
619 * Changes in the internal cell library:
620 - Added $dlatchsr and $_DLATCHSR_???_ cell types
621
622 * Improvements in Verilog frontend:
623 - Improved support for const functions (case, always, repeat)
624 - The generate..endgenerate keywords are now optional
625 - Added support for arrays of module instances
626 - Added support for "`default_nettype" directive
627 - Added support for "`line" directive
628
629 * Other front- and back-ends:
630 - Various changes to "write_blif" options
631 - Various improvements in EDIF backend
632 - Added "vhdl2verilog" pseudo-front-end
633 - Added "verific" pseudo-front-end
634
635 * Improvements in technology mapping:
636 - Added support for recursive techmap
637 - Added CONSTMSK and CONSTVAL features to techmap
638 - Added _TECHMAP_CONNMAP_*_ feature to techmap
639 - Added _TECHMAP_REPLACE_ feature to techmap
640 - Added "connwrappers" command for wrap-extract-unwrap method
641 - Added "extract -map %<design_name>" feature
642 - Added "extract -ignore_param ..." and "extract -ignore_parameters"
643 - Added "techmap -max_iter" option
644
645 * Improvements to "eval" and "sat" framework:
646 - Now include a copy of Minisat (with build fixes applied)
647 - Switched to Minisat::SimpSolver as SAT back-end
648 - Added "sat -dump_vcd" feature
649 - Added "sat -dump_cnf" feature
650 - Added "sat -initsteps <N>" feature
651 - Added "freduce -stop <N>" feature
652 - Added "freduce -dump <prefix>" feature
653
654 * Integration with ABC:
655 - Updated ABC rev to 7600ffb9340c
656
657 * Improvements in the internal APIs:
658 - Added RTLIL::Module::add... helper methods
659 - Various build fixes for OSX (Darwin) and OpenBSD
660
661
662 Yosys 0.1.0 .. Yosys 0.2.0
663 --------------------------
664
665 * Changes to the driver program:
666 - Added "yosys -h" and "yosys -H"
667 - Added support for backslash line continuation in scripts
668 - Added support for #-comments in same line as command
669 - Added "echo" and "log" commands
670
671 * Improvements in Verilog frontend:
672 - Added support for local registers in named blocks
673 - Added support for "case" in "generate" blocks
674 - Added support for $clog2 system function
675 - Added support for basic SystemVerilog assert statements
676 - Added preprocessor support for macro arguments
677 - Added preprocessor support for `elsif statement
678 - Added "verilog_defaults" command
679 - Added read_verilog -icells option
680 - Added support for constant sizes from parameters
681 - Added "read_verilog -setattr"
682 - Added support for function returning 'integer'
683 - Added limited support for function calls in parameter values
684 - Added "read_verilog -defer" to suppress evaluation of modules with default parameters
685
686 * Other front- and back-ends:
687 - Added BTOR backend
688 - Added Liberty frontend
689
690 * Improvements in technology mapping:
691 - The "dfflibmap" command now strongly prefers solutions with
692 no inverters in clock paths
693 - The "dfflibmap" command now prefers cells with smaller area
694 - Added support for multiple -map options to techmap
695 - Added "dfflibmap" support for //-comments in liberty files
696 - Added "memory_unpack" command to revert "memory_collect"
697 - Added standard techmap rule "techmap -share_map pmux2mux.v"
698 - Added "iopadmap -bits"
699 - Added "setundef" command
700 - Added "hilomap" command
701
702 * Changes in the internal cell library:
703 - Major rewrite of simlib.v for better compatibility with other tools
704 - Added PRIORITY parameter to $memwr cells
705 - Added TRANSPARENT parameter to $memrd cells
706 - Added RD_TRANSPARENT parameter to $mem cells
707 - Added $bu0 cell (always 0-extend, even undef MSB)
708 - Added $assert cell type
709 - Added $slice and $concat cell types
710
711 * Integration with ABC:
712 - Updated ABC to hg rev 2058c8ccea68
713 - Tighter integration of ABC build with Yosys build. The make
714 targets 'make abc' and 'make install-abc' are now obsolete.
715 - Added support for passing FFs from one clock domain through ABC
716 - Now always use BLIF as exchange format with ABC
717 - Added support for "abc -script +<command_sequence>"
718 - Improved standard ABC recipe
719 - Added support for "keep" attribute to abc command
720 - Added "abc -dff / -clk / -keepff" options
721
722 * Improvements to "eval" and "sat" framework:
723 - Added support for "0" and "~0" in right-hand side -set expressions
724 - Added "eval -set-undef" and "eval -table"
725 - Added "sat -set-init" and "sat -set-init-*" for sequential problems
726 - Added undef support to SAT solver, incl. various new "sat" options
727 - Added correct support for === and !== for "eval" and "sat"
728 - Added "sat -tempinduct" (default -seq is now non-induction sequential)
729 - Added "sat -prove-asserts"
730 - Complete rewrite of the 'freduce' command
731 - Added "miter" command
732 - Added "sat -show-inputs" and "sat -show-outputs"
733 - Added "sat -ignore_unknown_cells" (now produce an error by default)
734 - Added "sat -falsify"
735 - Now "sat -verify" and "sat -falsify" can also be used without "-prove"
736 - Added "expose" command
737 - Added support for @<sel_name> to sat and eval signal expressions
738
739 * Changes in the 'make test' framework and auxiliary test tools:
740 - Added autotest.sh -p and -f options
741 - Replaced autotest.sh ISIM support with XSIM support
742 - Added test cases for SAT framework
743
744 * Added "abbreviated IDs":
745 - Now $<something>$foo can be abbreviated as $foo.
746 - Usually this last part is a unique id (from RTLIL::autoidx)
747 - This abbreviated IDs are now also used in "show" output
748
749 * Other changes to selection framework:
750 - Now */ is optional in */<mode>:<arg> expressions
751 - Added "select -assert-none" and "select -assert-any"
752 - Added support for matching modules by attribute (A:<expr>)
753 - Added "select -none"
754 - Added support for r:<expr> pattern for matching cell parameters
755 - Added support for !=, <, <=, >=, > for attribute and parameter matching
756 - Added support for %s for selecting sub-modules
757 - Added support for %m for expanding selections to whole modules
758 - Added support for i:*, o:* and x:* pattern for selecting module ports
759 - Added support for s:<expr> pattern for matching wire width
760 - Added support for %a operation to select wire aliases
761
762 * Various other changes to commands and options:
763 - The "ls" command now supports wildcards
764 - Added "show -pause" and "show -format dot"
765 - Added "show -color" support for cells
766 - Added "show -label" and "show -notitle"
767 - Added "dump -m" and "dump -n"
768 - Added "history" command
769 - Added "rename -hide"
770 - Added "connect" command
771 - Added "splitnets -driver"
772 - Added "opt_const -mux_undef"
773 - Added "opt_const -mux_bool"
774 - Added "opt_const -undriven"
775 - Added "opt -mux_undef -mux_bool -undriven -purge"
776 - Added "hierarchy -libdir"
777 - Added "hierarchy -purge_lib" (by default now do not remove lib cells)
778 - Added "delete" command
779 - Added "dump -append"
780 - Added "setattr" and "setparam" commands
781 - Added "design -stash/-copy-from/-copy-to"
782 - Added "copy" command
783 - Added "splice" command
784