synth_gatemate Revert cascade A/B port mixup
[yosys.git] / CHANGELOG
1
2 List of major changes and improvements between releases
3 =======================================================
4
5 Yosys 0.11 .. Yosys 0.11-dev
6 --------------------------
7
8 Yosys 0.10 .. Yosys 0.11
9 --------------------------
10
11 * Various
12 - Added $aldff and $aldffe (flip-flops with async load) cells
13
14 * SystemVerilog
15 - Fixed an issue which prevented writing directly to a memory word via a
16 connection to an output port
17 - Fixed an issue which prevented unbased unsized literals (e.g., `'1`) from
18 filling the width of a cell input
19 - Fixed an issue where connecting a slice covering the entirety of a signed
20 signal to a cell input would cause a failed assertion
21
22 * Verific support
23 - Importer support for {PRIM,WIDE_OPER}_DFF
24 - Importer support for PRIM_BUFIF1
25 - Option to use Verific without VHDL support
26 - Importer support for {PRIM,WIDE_OPER}_DLATCH{,RS}
27 - Added -cfg option for getting/setting Verific runtime flags
28
29 Yosys 0.9 .. Yosys 0.10
30 --------------------------
31
32 * Various
33 - Added automatic gzip decompression for frontends
34 - Added $_NMUX_ cell type
35 - Added automatic gzip compression (based on filename extension) for backends
36 - Improve attribute and parameter encoding in JSON to avoid ambiguities between
37 bit vectors and strings containing [01xz]*
38 - Improvements in pmgen: subpattern and recursive matches
39 - Support explicit FIRRTL properties
40 - Improvements in pmgen: slices, choices, define, generate
41 - Added "_TECHMAP_WIREINIT_*_" parameter and "_TECHMAP_REMOVEINIT_*_" wire for "techmap" pass
42 - Added +/mul2dsp.v for decomposing wide multipliers to custom-sized ones
43 - Added new frontend: rpc
44 - Added --version and -version as aliases for -V
45 - Improve yosys-smtbmc "solver not found" handling
46 - Improved support of $readmem[hb] Memory Content File inclusion
47 - Added CXXRTL backend
48 - Use YosysHQ/abc instead of upstream berkeley-abc/abc
49 - Added WASI platform support.
50 - Added extmodule support to firrtl backend
51 - Added $divfloor and $modfloor cells
52 - Added $adffe, $dffsre, $sdff, $sdffe, $sdffce, $adlatch cells
53 - Added "_TECHMAP_CELLNAME_" parameter for "techmap" pass
54 - Added firrtl backend support for generic parameters in blackbox components
55 - Added $meminit_v2 cells (with support for write mask)
56 - Added $mem_v2, $memrd_v2, $memwr_v2, with the following features:
57 - write priority masks, per write/write port pair
58 - transparency and undefined collision behavior masks, per read/write port pair
59 - read port reset and initialization
60 - wide ports (accessing a naturally aligned power-of-two number of memory cells)
61
62 * New commands and options
63 - Added "write_xaiger" backend
64 - Added "read_xaiger"
65 - Added "abc9" pass for timing-aware techmapping (experimental, FPGA only)
66 - Added "synth -abc9" (experimental)
67 - Added "script -scriptwire"
68 - Added "clkbufmap" pass
69 - Added "extractinv" pass and "invertible_pin" attribute
70 - Added "proc_clean -quiet"
71 - Added "proc_prune" pass
72 - Added "stat -tech cmos"
73 - Added "opt_share" pass, run as part of "opt -full"
74 - Added "-match-init" option to "dff2dffs" pass
75 - Added "equiv_opt -multiclock"
76 - Added "techmap_autopurge" support to techmap
77 - Added "add -mod <modname[s]>"
78 - Added "paramap" pass
79 - Added "portlist" command
80 - Added "check -mapped"
81 - Added "check -allow-tbuf"
82 - Added "autoname" pass
83 - Added "write_verilog -extmem"
84 - Added "opt_mem" pass
85 - Added "scratchpad" pass
86 - Added "fminit" pass
87 - Added "opt_lut_ins" pass
88 - Added "logger" pass
89 - Added "show -nobg"
90 - Added "exec" command
91 - Added "design -delete"
92 - Added "design -push-copy"
93 - Added "qbfsat" command
94 - Added "select -unset"
95 - Added "dfflegalize" pass
96 - Removed "opt_expr -clkinv" option, made it the default
97 - Added "proc -nomux
98 - Merged "dffsr2dff", "opt_rmdff", "dff2dffe", "dff2dffs", "peepopt.dffmux" passes into a new "opt_dff" pass
99
100 * SystemVerilog
101 - Added checking of always block types (always_comb, always_latch and always_ff)
102 - Added support for wildcard port connections (.*)
103 - Added support for enum typedefs
104 - Added support for structs and packed unions.
105 - Allow constant function calls in for loops and generate if and case
106 - Added support for static cast
107 - Added support for logic typed parameters
108 - Fixed generate scoping issues
109 - Added support for real-valued parameters
110 - Allow localparams in constant functions
111 - Module name scope support
112 - Support recursive functions using ternary expressions
113 - Extended support for integer types
114 - Support for parameters without default values
115 - Allow globals in one file to depend on globals in another
116 - Added support for: *=, /=, %=, <<=, >>=, <<<=, >>>=
117 - Added support for parsing the 'bind' construct
118 - support declaration in procedural for initialization
119 - support declaration in generate for initialization
120 - Support wand and wor of data types
121
122 * Verific support
123 - Added "verific -L"
124 - Add Verific SVA support for "always" properties
125 - Add Verific support for SVA nexttime properties
126 - Improve handling of verific primitives in "verific -import -V" mode
127 - Import attributes for wires
128 - Support VHDL enums
129 - Added support for command files
130
131 * New back-ends
132 - Added initial EFINIX support
133 - Added Intel ALM: alternative synthesis for Intel FPGAs
134 - Added initial Nexus support
135 - Added initial MachXO2 support
136 - Added initial QuickLogic PolarPro 3 support
137
138 * ECP5 support
139 - Renamed labels/options in synth_ecp5 (e.g. dram -> map_lutram; -nodram -> -nolutram)
140 - Added "synth_ecp5 -abc9" (experimental)
141 - Added "synth_ecp5 -nowidelut"
142 - "synth_ecp5" to now infer DSP blocks (-nodsp to disable, experimental)
143
144 * iCE40 support
145 - Added "synth_ice40 -abc9" (experimental)
146 - Added "synth_ice40 -device"
147 - Renamed labels/options in synth_ice40 (e.g. dram -> map_lutram; -nodram -> -nolutram)
148 - Added "ice40_wrapcarry" to encapsulate SB_LUT+SB_CARRY pairs for techmapping
149 - Removed "ice40_unlut"
150 - Added "ice40_dsp" for Lattice iCE40 DSP packing
151 - "synth_ice40 -dsp" to infer DSP blocks
152
153 * Xilinx support
154 - Added "synth_xilinx -abc9" (experimental)
155 - Added "synth_xilinx -nocarry"
156 - Added "synth_xilinx -nowidelut"
157 - "synth_xilinx" to now infer wide multiplexers (-widemux <min> to enable)
158 - Renamed labels/options in synth_xilinx (e.g. dram -> map_lutram; -nodram -> -nolutram)
159 - Added "synth_xilinx -family xc6s" for Spartan 6 support (experimental)
160 - Added "synth_xilinx -ise" (experimental)
161 - Added "synth_xilinx -iopad"
162 - "synth_xilinx" now automatically inserts clock buffers (add -noclkbuf to disable)
163 - Added "xilinx_srl" for Xilinx shift register extraction
164 - Removed "shregmap -tech xilinx" (superseded by "xilinx_srl")
165 - Added "xilinx_dsp" for Xilinx DSP packing
166 - "synth_xilinx" to now infer DSP blocks (-nodsp to disable)
167 - Added latch support to synth_xilinx
168 - Added support for flip-flops with synchronous reset to synth_xilinx
169 - Added support for flip-flops with reset and enable to synth_xilinx
170 - Added "xilinx_dffopt" pass
171 - Added "synth_xilinx -dff"
172
173 * Intel support
174 - Renamed labels in synth_intel (e.g. bram -> map_bram)
175 - synth_intel: cyclone10 -> cyclone10lp, a10gx -> arria10gx
176 - Added "intel_alm -abc9" (experimental)
177
178 * CoolRunner2 support
179 - Separate and improve buffer cell insertion pass
180 - Use extract_counter to optimize counters
181
182 Yosys 0.8 .. Yosys 0.9
183 ----------------------
184
185 * Various
186 - Many bugfixes and small improvements
187 - Added support for SystemVerilog interfaces and modports
188 - Added "write_edif -attrprop"
189 - Added "opt_lut" pass
190 - Added "gate2lut.v" techmap rule
191 - Added "rename -src"
192 - Added "equiv_opt" pass
193 - Added "flowmap" LUT mapping pass
194 - Added "rename -wire" to rename cells based on the wires they drive
195 - Added "bugpoint" for creating minimised testcases
196 - Added "write_edif -gndvccy"
197 - "write_verilog" to escape Verilog keywords
198 - Fixed sign handling of real constants
199 - "write_verilog" to write initial statement for initial flop state
200 - Added pmgen pattern matcher generator
201 - Fixed opt_rmdff handling of $_DFFSR_???_ and $_DLATCHSR_???_
202 - Added "setundef -params" to replace undefined cell parameters
203 - Renamed "yosys -D" to "yosys -U", added "yosys -D" to set Verilog defines
204 - Fixed handling of defparam when default_nettype is none
205 - Fixed "wreduce" flipflop handling
206 - Fixed FIRRTL to Verilog process instance subfield assignment
207 - Added "write_verilog -siminit"
208 - Several fixes and improvements for mem2reg memories
209 - Fixed handling of task output ports in clocked always blocks
210 - Improved handling of and-with-1 and or-with-0 in "opt_expr"
211 - Added "read_aiger" frontend
212 - Added "mutate" pass
213 - Added "hdlname" attribute
214 - Added "rename -output"
215 - Added "read_ilang -lib"
216 - Improved "proc" full_case detection and handling
217 - Added "whitebox" and "lib_whitebox" attributes
218 - Added "read_verilog -nowb", "flatten -wb" and "wbflip"
219 - Added Python bindings and support for Python plug-ins
220 - Added "pmux2shiftx"
221 - Added log_debug framework for reduced default verbosity
222 - Improved "opt_expr" and "opt_clean" handling of (partially) undriven and/or unused wires
223 - Added "peepopt" peephole optimisation pass using pmgen
224 - Added approximate support for SystemVerilog "var" keyword
225 - Added parsing of "specify" blocks into $specrule and $specify[23]
226 - Added support for attributes on parameters and localparams
227 - Added support for parsing attributes on port connections
228 - Added "wreduce -keepdc"
229 - Added support for optimising $dffe and $_DFFE_* cells in "opt_rmdff"
230 - Added Verilog wand/wor wire type support
231 - Added support for elaboration system tasks
232 - Added "muxcover -mux{4,8,16}=<cost>"
233 - Added "muxcover -dmux=<cost>"
234 - Added "muxcover -nopartial"
235 - Added "muxpack" pass
236 - Added "pmux2shiftx -norange"
237 - Added support for "~" in filename parsing
238 - Added "read_verilog -pwires" feature to turn parameters into wires
239 - Fixed sign extension of unsized constants with 'bx and 'bz MSB
240 - Fixed genvar to be a signed type
241 - Added support for attributes on case rules
242 - Added "upto" and "offset" to JSON frontend and backend
243 - Several liberty file parser improvements
244 - Fixed handling of more complex BRAM patterns
245 - Add "write_aiger -I -O -B"
246
247 * Formal Verification
248 - Added $changed support to read_verilog
249 - Added "read_verilog -noassert -noassume -assert-assumes"
250 - Added btor ops for $mul, $div, $mod and $concat
251 - Added yosys-smtbmc support for btor witnesses
252 - Added "supercover" pass
253 - Fixed $global_clock handling vs autowire
254 - Added $dffsr support to "async2sync"
255 - Added "fmcombine" pass
256 - Added memory init support in "write_btor"
257 - Added "cutpoint" pass
258 - Changed "ne" to "neq" in btor2 output
259 - Added support for SVA "final" keyword
260 - Added "fmcombine -initeq -anyeq"
261 - Added timescale and generated-by header to yosys-smtbmc vcd output
262 - Improved BTOR2 handling of undriven wires
263
264 * Verific support
265 - Enabled Verific flags vhdl_support_variable_slice and veri_elaborate_top_level_modules_having_interface_ports
266 - Improved support for asymmetric memories
267 - Added "verific -chparam"
268 - Fixed "verific -extnets" for more complex situations
269 - Added "read -verific" and "read -noverific"
270 - Added "hierarchy -chparam"
271
272 * New back-ends
273 - Added initial Anlogic support
274 - Added initial SmartFusion2 and IGLOO2 support
275
276 * ECP5 support
277 - Added "synth_ecp5 -nowidelut"
278 - Added BRAM inference support to "synth_ecp5"
279 - Added support for transforming Diamond IO and flipflop primitives
280
281 * iCE40 support
282 - Added "ice40_unlut" pass
283 - Added "synth_ice40 -relut"
284 - Added "synth_ice40 -noabc"
285 - Added "synth_ice40 -dffe_min_ce_use"
286 - Added DSP inference support using pmgen
287 - Added support for initialising BRAM primitives from a file
288 - Added iCE40 Ultra RGB LED driver cells
289
290 * Xilinx support
291 - Use "write_edif -pvector bra" for Xilinx EDIF files
292 - Fixes for VPR place and route support with "synth_xilinx"
293 - Added more cell simulation models
294 - Added "synth_xilinx -family"
295 - Added "stat -tech xilinx" to estimate logic cell usage
296 - Added "synth_xilinx -nocarry"
297 - Added "synth_xilinx -nowidelut"
298 - "synth_xilinx" to now infer hard shift registers (-nosrl to disable)
299 - Added support for mapping RAM32X1D
300
301 Yosys 0.7 .. Yosys 0.8
302 ----------------------
303
304 * Various
305 - Many bugfixes and small improvements
306 - Strip debug symbols from installed binary
307 - Replace -ignore_redef with -[no]overwrite in front-ends
308 - Added write_verilog hex dump support, add -nohex option
309 - Added "write_verilog -decimal"
310 - Added "scc -set_attr"
311 - Added "verilog_defines" command
312 - Remember defines from one read_verilog to next
313 - Added support for hierarchical defparam
314 - Added FIRRTL back-end
315 - Improved ABC default scripts
316 - Added "design -reset-vlog"
317 - Added "yosys -W regex", "yosys -w regex", and "yosys -e regex"
318 - Added Verilog $rtoi and $itor support
319 - Added "check -initdrv"
320 - Added "read_blif -wideports"
321 - Added support for SystemVerilog "++" and "--" operators
322 - Added support for SystemVerilog unique, unique0, and priority case
323 - Added "write_edif" options for edif "flavors"
324 - Added support for resetall compiler directive
325 - Added simple C beck-end (bitwise combinatorical only atm)
326 - Added $_ANDNOT_ and $_ORNOT_ cell types
327 - Added cell library aliases to "abc -g"
328 - Added "setundef -anyseq"
329 - Added "chtype" command
330 - Added "design -import"
331 - Added "write_table" command
332 - Added "read_json" command
333 - Added "sim" command
334 - Added "extract_fa" and "extract_reduce" commands
335 - Added "extract_counter" command
336 - Added "opt_demorgan" command
337 - Added support for $size and $bits SystemVerilog functions
338 - Added "blackbox" command
339 - Added "ltp" command
340 - Added support for editline as replacement for readline
341 - Added warnings for driver-driver conflicts between FFs (and other cells) and constants
342 - Added "yosys -E" for creating Makefile dependencies files
343 - Added "synth -noshare"
344 - Added "memory_nordff"
345 - Added "setundef -undef -expose -anyconst"
346 - Added "expose -input"
347 - Added specify/specparam parser support (simply ignore them)
348 - Added "write_blif -inames -iattr"
349 - Added "hierarchy -simcheck"
350 - Added an option to statically link abc into yosys
351 - Added protobuf back-end
352 - Added BLIF parsing support for .conn and .cname
353 - Added read_verilog error checking for reg/wire/logic misuse
354 - Added "make coverage" and ENABLE_GCOV build option
355
356 * Changes in Yosys APIs
357 - Added ConstEval defaultval feature
358 - Added {get,set}_src_attribute() methods on RTLIL::AttrObject
359 - Added SigSpec::is_fully_ones() and Const::is_fully_ones()
360 - Added log_file_warning() and log_file_error() functions
361
362 * Formal Verification
363 - Added "write_aiger"
364 - Added "yosys-smtbmc --aig"
365 - Added "always <positive_int>" to .smtc format
366 - Added $cover cell type and support for cover properties
367 - Added $fair/$live cell type and support for liveness properties
368 - Added smtbmc support for memory vcd dumping
369 - Added "chformal" command
370 - Added "write_smt2 -stbv" and "write_smt2 -stdt"
371 - Fix equiv_simple, old behavior now available with "equiv_simple -short"
372 - Change to Yices2 as default SMT solver (it is GPL now)
373 - Added "yosys-smtbmc --presat" (now default in SymbiYosys)
374 - Added "yosys-smtbmc --smtc-init --smtc-top --noinit"
375 - Added a brand new "write_btor" command for BTOR2
376 - Added clk2fflogic memory support and other improvements
377 - Added "async memory write" support to write_smt2
378 - Simulate clock toggling in yosys-smtbmc VCD output
379 - Added $allseq/$allconst cells for EA-solving
380 - Make -nordff the default in "prep"
381 - Added (* gclk *) attribute
382 - Added "async2sync" pass for single-clock designs with async resets
383
384 * Verific support
385 - Many improvements in Verific front-end
386 - Added proper handling of concurent SVA properties
387 - Map "const" and "rand const" to $anyseq/$anyconst
388 - Added "verific -import -flatten" and "verific -import -extnets"
389 - Added "verific -vlog-incdir -vlog-define -vlog-libdir"
390 - Remove PSL support (because PSL has been removed in upstream Verific)
391 - Improve integration with "hierarchy" command design elaboration
392 - Added YOSYS_NOVERIFIC for running non-verific test cases with verific bin
393 - Added simpilied "read" command that automatically uses verific if available
394 - Added "verific -set-<severity> <msg_id>.."
395 - Added "verific -work <libname>"
396
397 * New back-ends
398 - Added initial Coolrunner-II support
399 - Added initial eASIC support
400 - Added initial ECP5 support
401
402 * GreenPAK Support
403 - Added support for GP_DLATCH, GP_SPI, GP_DCMx, GP_COUNTx, etc.
404
405 * iCE40 Support
406 - Add "synth_ice40 -vpr"
407 - Add "synth_ice40 -nodffe"
408 - Add "synth_ice40 -json"
409 - Add Support for UltraPlus cells
410
411 * MAX10 and Cyclone IV Support
412 - Added initial version of metacommand "synth_intel".
413 - Improved write_verilog command to produce VQM netlist for Quartus Prime.
414 - Added support for MAX10 FPGA family synthesis.
415 - Added support for Cyclone IV family synthesis.
416 - Added example of implementation for DE2i-150 board.
417 - Added example of implementation for MAX10 development kit.
418 - Added LFSR example from Asic World.
419 - Added "dffinit -highlow" for mapping to Intel primitives
420
421
422 Yosys 0.6 .. Yosys 0.7
423 ----------------------
424
425 * Various
426 - Added "yosys -D" feature
427 - Added support for installed plugins in $(DATDIR)/plugins/
428 - Renamed opt_const to opt_expr
429 - Renamed opt_share to opt_merge
430 - Added "prep -flatten" and "synth -flatten"
431 - Added "prep -auto-top" and "synth -auto-top"
432 - Using "mfs" and "lutpack" in ABC lut mapping
433 - Support for abstract modules in chparam
434 - Cleanup abstract modules at end of "hierarchy -top"
435 - Added tristate buffer support to iopadmap
436 - Added opt_expr support for div/mod by power-of-two
437 - Added "select -assert-min <N> -assert-max <N>"
438 - Added "attrmvcp" pass
439 - Added "attrmap" command
440 - Added "tee +INT -INT"
441 - Added "zinit" pass
442 - Added "setparam -type"
443 - Added "shregmap" pass
444 - Added "setundef -init"
445 - Added "nlutmap -assert"
446 - Added $sop cell type and "abc -sop -I <num> -P <num>"
447 - Added "dc2" to default ABC scripts
448 - Added "deminout"
449 - Added "insbuf" command
450 - Added "prep -nomem"
451 - Added "opt_rmdff -keepdc"
452 - Added "prep -nokeepdc"
453 - Added initial version of "synth_gowin"
454 - Added "fsm_expand -full"
455 - Added support for fsm_encoding="user"
456 - Many improvements in GreenPAK4 support
457 - Added black box modules for all Xilinx 7-series lib cells
458 - Added synth_ice40 support for latches via logic loops
459 - Fixed ice40_opt lut unmapping, added "ice40_opt -unlut"
460
461 * Build System
462 - Added ABCEXTERNAL and ABCURL make variables
463 - Added BINDIR, LIBDIR, and DATDIR make variables
464 - Added PKG_CONFIG make variable
465 - Added SEED make variable (for "make test")
466 - Added YOSYS_VER_STR make variable
467 - Updated min GCC requirement to GCC 4.8
468 - Updated required Bison version to Bison 3.x
469
470 * Internal APIs
471 - Added ast.h to exported headers
472 - Added ScriptPass helper class for script-like passes
473 - Added CellEdgesDatabase API
474
475 * Front-ends and Back-ends
476 - Added filename glob support to all front-ends
477 - Added avail (black-box) module params to ilang format
478 - Added $display %m support
479 - Added support for $stop Verilog system task
480 - Added support for SystemVerilog packages
481 - Fixed procedural assignments to non-unique lvalues, e.g. {y,y} = {a,b}
482 - Added support for "active high" and "active low" latches in read_blif and write_blif
483 - Use init value "2" for all uninitialized FFs in BLIF back-end
484 - Added "read_blif -sop"
485 - Added "write_blif -noalias"
486 - Added various write_blif options for VTR support
487 - write_json: also write module attributes.
488 - Added "write_verilog -nodec -nostr -defparam"
489 - Added "read_verilog -norestrict -assume-asserts"
490 - Added support for bus interfaces to "read_liberty -lib"
491 - Added liberty parser support for types within cell decls
492 - Added "write_verilog -renameprefix -v"
493 - Added "write_edif -nogndvcc"
494
495 * Formal Verification
496 - Support for hierarchical designs in smt2 back-end
497 - Yosys-smtbmc: Support for hierarchical VCD dumping
498 - Added $initstate cell type and vlog function
499 - Added $anyconst and $anyseq cell types and vlog functions
500 - Added printing of code loc of failed asserts to yosys-smtbmc
501 - Added memory_memx pass, "memory -memx", and "prep -memx"
502 - Added "proc_mux -ifx"
503 - Added "yosys-smtbmc -g"
504 - Deprecated "write_smt2 -regs" (by default on now)
505 - Made "write_smt2 -bv -mem" default, added "write_smt2 -nobv -nomem"
506 - Added support for memories to smtio.py
507 - Added "yosys-smtbmc --dump-vlogtb"
508 - Added "yosys-smtbmc --smtc --dump-smtc"
509 - Added "yosys-smtbmc --dump-all"
510 - Added assertpmux command
511 - Added "yosys-smtbmc --unroll"
512 - Added $past, $stable, $rose, $fell SVA functions
513 - Added "yosys-smtbmc --noinfo and --dummy"
514 - Added "yosys-smtbmc --noincr"
515 - Added "yosys-smtbmc --cex <filename>"
516 - Added $ff and $_FF_ cell types
517 - Added $global_clock verilog syntax support for creating $ff cells
518 - Added clk2fflogic
519
520
521 Yosys 0.5 .. Yosys 0.6
522 ----------------------
523
524 * Various
525 - Added Contributor Covenant Code of Conduct
526 - Various improvements in dict<> and pool<>
527 - Added hashlib::mfp and refactored SigMap
528 - Improved support for reals as module parameters
529 - Various improvements in SMT2 back-end
530 - Added "keep_hierarchy" attribute
531 - Verilog front-end: define `BLACKBOX in -lib mode
532 - Added API for converting internal cells to AIGs
533 - Added ENABLE_LIBYOSYS Makefile option
534 - Removed "techmap -share_map" (use "-map +/filename" instead)
535 - Switched all Python scripts to Python 3
536 - Added support for $display()/$write() and $finish() to Verilog front-end
537 - Added "yosys-smtbmc" formal verification flow
538 - Added options for clang sanitizers to Makefile
539
540 * New commands and options
541 - Added "scc -expect <N> -nofeedback"
542 - Added "proc_dlatch"
543 - Added "check"
544 - Added "select %xe %cie %coe %M %C %R"
545 - Added "sat -dump_json" (WaveJSON format)
546 - Added "sat -tempinduct-baseonly -tempinduct-inductonly"
547 - Added "sat -stepsize" and "sat -tempinduct-step"
548 - Added "sat -show-regs -show-public -show-all"
549 - Added "write_json" (Native Yosys JSON format)
550 - Added "write_blif -attr"
551 - Added "dffinit"
552 - Added "chparam"
553 - Added "muxcover"
554 - Added "pmuxtree"
555 - Added memory_bram "make_outreg" feature
556 - Added "splice -wires"
557 - Added "dff2dffe -direct-match"
558 - Added simplemap $lut support
559 - Added "read_blif"
560 - Added "opt_share -share_all"
561 - Added "aigmap"
562 - Added "write_smt2 -mem -regs -wires"
563 - Added "memory -nordff"
564 - Added "write_smv"
565 - Added "synth -nordff -noalumacc"
566 - Added "rename -top new_name"
567 - Added "opt_const -clkinv"
568 - Added "synth -nofsm"
569 - Added "miter -assert"
570 - Added "read_verilog -noautowire"
571 - Added "read_verilog -nodpi"
572 - Added "tribuf"
573 - Added "lut2mux"
574 - Added "nlutmap"
575 - Added "qwp"
576 - Added "test_cell -noeval"
577 - Added "edgetypes"
578 - Added "equiv_struct"
579 - Added "equiv_purge"
580 - Added "equiv_mark"
581 - Added "equiv_add -try -cell"
582 - Added "singleton"
583 - Added "abc -g -luts"
584 - Added "torder"
585 - Added "write_blif -cname"
586 - Added "submod -copy"
587 - Added "dffsr2dff"
588 - Added "stat -liberty"
589
590 * Synthesis metacommands
591 - Various improvements in synth_xilinx
592 - Added synth_ice40 and synth_greenpak4
593 - Added "prep" metacommand for "synthesis lite"
594
595 * Cell library changes
596 - Added cell types to "help" system
597 - Added $meminit cell type
598 - Added $assume cell type
599 - Added $_MUX4_, $_MUX8_, and $_MUX16_ cells
600 - Added $tribuf and $_TBUF_ cell types
601 - Added read-enable to memory model
602
603 * YosysJS
604 - Various improvements in emscripten build
605 - Added alternative webworker-based JS API
606 - Added a few example applications
607
608
609 Yosys 0.4 .. Yosys 0.5
610 ----------------------
611
612 * API changes
613 - Added log_warning()
614 - Added eval_select_args() and eval_select_op()
615 - Added cell->known(), cell->input(portname), cell->output(portname)
616 - Skip blackbox modules in design->selected_modules()
617 - Replaced std::map<> and std::set<> with dict<> and pool<>
618 - New SigSpec::extend() is what used to be SigSpec::extend_u0()
619 - Added YS_OVERRIDE, YS_FINAL, YS_ATTRIBUTE, YS_NORETURN
620
621 * Cell library changes
622 - Added flip-flops with enable ($dffe etc.)
623 - Added $equiv cells for equivalence checking framework
624
625 * Various
626 - Updated ABC to hg rev 61ad5f908c03
627 - Added clock domain partitioning to ABC pass
628 - Improved plugin building (see "yosys-config --build")
629 - Added ENABLE_NDEBUG Makefile flag for high-performance builds
630 - Added "yosys -d", "yosys -L" and other driver improvements
631 - Added support for multi-bit (array) cell ports to "write_edif"
632 - Now printing most output to stdout, not stderr
633 - Added "onehot" attribute (set by "fsm_map")
634 - Various performance improvements
635 - Vastly improved Xilinx flow
636 - Added "make unsintall"
637
638 * Equivalence checking
639 - Added equivalence checking commands:
640 equiv_make equiv_simple equiv_status
641 equiv_induct equiv_miter
642 equiv_add equiv_remove
643
644 * Block RAM support:
645 - Added "memory_bram" command
646 - Added BRAM support to Xilinx flow
647
648 * Other New Commands and Options
649 - Added "dff2dffe"
650 - Added "fsm -encfile"
651 - Added "dfflibmap -prepare"
652 - Added "write_blid -unbuf -undef -blackbox"
653 - Added "write_smt2" for writing SMT-LIBv2 files
654 - Added "test_cell -w -muxdiv"
655 - Added "select -read"
656
657
658 Yosys 0.3.0 .. Yosys 0.4
659 ------------------------
660
661 * Platform Support
662 - Added support for mxe-based cross-builds for win32
663 - Added sourcecode-export as VisualStudio project
664 - Added experimental EMCC (JavaScript) support
665
666 * Verilog Frontend
667 - Added -sv option for SystemVerilog (and automatic *.sv file support)
668 - Added support for real-valued constants and constant expressions
669 - Added support for non-standard "via_celltype" attribute on task/func
670 - Added support for non-standard "module mod_name(...);" syntax
671 - Added support for non-standard """ macro bodies
672 - Added support for array with more than one dimension
673 - Added support for $readmemh and $readmemb
674 - Added support for DPI functions
675
676 * Changes in internal cell library
677 - Added $shift and $shiftx cell types
678 - Added $alu, $lcu, $fa and $macc cell types
679 - Removed $bu0 and $safe_pmux cell types
680 - $mem/$memwr WR_EN input is now a per-data-bit enable signal
681 - Added $_NAND_ $_NOR_ $_XNOR_ $_AOI3_ $_OAI3_ $_AOI4_ $_OAI4_
682 - Renamed ports of $lut cells (from I->O to A->Y)
683 - Renamed $_INV_ to $_NOT_
684
685 * Changes for simple synthesis flows
686 - There is now a "synth" command with a recommended default script
687 - Many improvements in synthesis of arithmetic functions to gates
688 - Multipliers and adders with many operands are using carry-save adder trees
689 - Remaining adders are now implemented using Brent-Kung carry look-ahead adders
690 - Various new high-level optimizations on RTL netlist
691 - Various improvements in FSM optimization
692 - Updated ABC to hg 5b5af75f1dda (from 2014-11-07)
693
694 * Changes in internal APIs and RTLIL
695 - Added log_id() and log_cell() helper functions
696 - Added function-like cell creation helpers
697 - Added GetSize() function (like .size() but with int)
698 - Major refactoring of RTLIL::Module and related classes
699 - Major refactoring of RTLIL::SigSpec and related classes
700 - Now RTLIL::IdString is essentially an int
701 - Added macros for code coverage counters
702 - Added some Makefile magic for pretty make logs
703 - Added "kernel/yosys.h" with all the core definitions
704 - Changed a lot of code from FILE* to c++ streams
705 - Added RTLIL::Monitor API and "trace" command
706 - Added "Yosys" C++ namespace
707
708 * Changes relevant to SAT solving
709 - Added ezSAT::keep_cnf() and ezSAT::non_incremental()
710 - Added native ezSAT support for vector shift ops
711 - Updated MiniSAT to git 37dc6c67e2 (from 2013-09-25)
712
713 * New commands (or large improvements to commands)
714 - Added "synth" command with default script
715 - Added "share" (finally some real resource sharing)
716 - Added "memory_share" (reduce number of ports on memories)
717 - Added "wreduce" and "alumacc" commands
718 - Added "opt -keepdc -fine -full -fast"
719 - Added some "test_*" commands
720
721 * Various other changes
722 - Added %D and %c select operators
723 - Added support for labels in yosys scripts
724 - Added support for here-documents in yosys scripts
725 - Support "+/" prefix for files from proc_share_dir
726 - Added "autoidx" statement to ilang language
727 - Switched from "yosys-svgviewer" to "xdot"
728 - Renamed "stdcells.v" to "techmap.v"
729 - Various bug fixes and small improvements
730 - Improved welcome and bye messages
731
732
733 Yosys 0.2.0 .. Yosys 0.3.0
734 --------------------------
735
736 * Driver program and overall behavior:
737 - Added "design -push" and "design -pop"
738 - Added "tee" command for redirecting log output
739
740 * Changes in the internal cell library:
741 - Added $dlatchsr and $_DLATCHSR_???_ cell types
742
743 * Improvements in Verilog frontend:
744 - Improved support for const functions (case, always, repeat)
745 - The generate..endgenerate keywords are now optional
746 - Added support for arrays of module instances
747 - Added support for "`default_nettype" directive
748 - Added support for "`line" directive
749
750 * Other front- and back-ends:
751 - Various changes to "write_blif" options
752 - Various improvements in EDIF backend
753 - Added "vhdl2verilog" pseudo-front-end
754 - Added "verific" pseudo-front-end
755
756 * Improvements in technology mapping:
757 - Added support for recursive techmap
758 - Added CONSTMSK and CONSTVAL features to techmap
759 - Added _TECHMAP_CONNMAP_*_ feature to techmap
760 - Added _TECHMAP_REPLACE_ feature to techmap
761 - Added "connwrappers" command for wrap-extract-unwrap method
762 - Added "extract -map %<design_name>" feature
763 - Added "extract -ignore_param ..." and "extract -ignore_parameters"
764 - Added "techmap -max_iter" option
765
766 * Improvements to "eval" and "sat" framework:
767 - Now include a copy of Minisat (with build fixes applied)
768 - Switched to Minisat::SimpSolver as SAT back-end
769 - Added "sat -dump_vcd" feature
770 - Added "sat -dump_cnf" feature
771 - Added "sat -initsteps <N>" feature
772 - Added "freduce -stop <N>" feature
773 - Added "freduce -dump <prefix>" feature
774
775 * Integration with ABC:
776 - Updated ABC rev to 7600ffb9340c
777
778 * Improvements in the internal APIs:
779 - Added RTLIL::Module::add... helper methods
780 - Various build fixes for OSX (Darwin) and OpenBSD
781
782
783 Yosys 0.1.0 .. Yosys 0.2.0
784 --------------------------
785
786 * Changes to the driver program:
787 - Added "yosys -h" and "yosys -H"
788 - Added support for backslash line continuation in scripts
789 - Added support for #-comments in same line as command
790 - Added "echo" and "log" commands
791
792 * Improvements in Verilog frontend:
793 - Added support for local registers in named blocks
794 - Added support for "case" in "generate" blocks
795 - Added support for $clog2 system function
796 - Added support for basic SystemVerilog assert statements
797 - Added preprocessor support for macro arguments
798 - Added preprocessor support for `elsif statement
799 - Added "verilog_defaults" command
800 - Added read_verilog -icells option
801 - Added support for constant sizes from parameters
802 - Added "read_verilog -setattr"
803 - Added support for function returning 'integer'
804 - Added limited support for function calls in parameter values
805 - Added "read_verilog -defer" to suppress evaluation of modules with default parameters
806
807 * Other front- and back-ends:
808 - Added BTOR backend
809 - Added Liberty frontend
810
811 * Improvements in technology mapping:
812 - The "dfflibmap" command now strongly prefers solutions with
813 no inverters in clock paths
814 - The "dfflibmap" command now prefers cells with smaller area
815 - Added support for multiple -map options to techmap
816 - Added "dfflibmap" support for //-comments in liberty files
817 - Added "memory_unpack" command to revert "memory_collect"
818 - Added standard techmap rule "techmap -share_map pmux2mux.v"
819 - Added "iopadmap -bits"
820 - Added "setundef" command
821 - Added "hilomap" command
822
823 * Changes in the internal cell library:
824 - Major rewrite of simlib.v for better compatibility with other tools
825 - Added PRIORITY parameter to $memwr cells
826 - Added TRANSPARENT parameter to $memrd cells
827 - Added RD_TRANSPARENT parameter to $mem cells
828 - Added $bu0 cell (always 0-extend, even undef MSB)
829 - Added $assert cell type
830 - Added $slice and $concat cell types
831
832 * Integration with ABC:
833 - Updated ABC to hg rev 2058c8ccea68
834 - Tighter integration of ABC build with Yosys build. The make
835 targets 'make abc' and 'make install-abc' are now obsolete.
836 - Added support for passing FFs from one clock domain through ABC
837 - Now always use BLIF as exchange format with ABC
838 - Added support for "abc -script +<command_sequence>"
839 - Improved standard ABC recipe
840 - Added support for "keep" attribute to abc command
841 - Added "abc -dff / -clk / -keepff" options
842
843 * Improvements to "eval" and "sat" framework:
844 - Added support for "0" and "~0" in right-hand side -set expressions
845 - Added "eval -set-undef" and "eval -table"
846 - Added "sat -set-init" and "sat -set-init-*" for sequential problems
847 - Added undef support to SAT solver, incl. various new "sat" options
848 - Added correct support for === and !== for "eval" and "sat"
849 - Added "sat -tempinduct" (default -seq is now non-induction sequential)
850 - Added "sat -prove-asserts"
851 - Complete rewrite of the 'freduce' command
852 - Added "miter" command
853 - Added "sat -show-inputs" and "sat -show-outputs"
854 - Added "sat -ignore_unknown_cells" (now produce an error by default)
855 - Added "sat -falsify"
856 - Now "sat -verify" and "sat -falsify" can also be used without "-prove"
857 - Added "expose" command
858 - Added support for @<sel_name> to sat and eval signal expressions
859
860 * Changes in the 'make test' framework and auxiliary test tools:
861 - Added autotest.sh -p and -f options
862 - Replaced autotest.sh ISIM support with XSIM support
863 - Added test cases for SAT framework
864
865 * Added "abbreviated IDs":
866 - Now $<something>$foo can be abbreviated as $foo.
867 - Usually this last part is a unique id (from RTLIL::autoidx)
868 - This abbreviated IDs are now also used in "show" output
869
870 * Other changes to selection framework:
871 - Now */ is optional in */<mode>:<arg> expressions
872 - Added "select -assert-none" and "select -assert-any"
873 - Added support for matching modules by attribute (A:<expr>)
874 - Added "select -none"
875 - Added support for r:<expr> pattern for matching cell parameters
876 - Added support for !=, <, <=, >=, > for attribute and parameter matching
877 - Added support for %s for selecting sub-modules
878 - Added support for %m for expanding selections to whole modules
879 - Added support for i:*, o:* and x:* pattern for selecting module ports
880 - Added support for s:<expr> pattern for matching wire width
881 - Added support for %a operation to select wire aliases
882
883 * Various other changes to commands and options:
884 - The "ls" command now supports wildcards
885 - Added "show -pause" and "show -format dot"
886 - Added "show -color" support for cells
887 - Added "show -label" and "show -notitle"
888 - Added "dump -m" and "dump -n"
889 - Added "history" command
890 - Added "rename -hide"
891 - Added "connect" command
892 - Added "splitnets -driver"
893 - Added "opt_const -mux_undef"
894 - Added "opt_const -mux_bool"
895 - Added "opt_const -undriven"
896 - Added "opt -mux_undef -mux_bool -undriven -purge"
897 - Added "hierarchy -libdir"
898 - Added "hierarchy -purge_lib" (by default now do not remove lib cells)
899 - Added "delete" command
900 - Added "dump -append"
901 - Added "setattr" and "setparam" commands
902 - Added "design -stash/-copy-from/-copy-to"
903 - Added "copy" command
904 - Added "splice" command
905