Merge pull request #1751 from boqwxp/add_assert
[yosys.git] / CHANGELOG
1
2 List of major changes and improvements between releases
3 =======================================================
4
5
6 Yosys 0.9 .. Yosys 0.9-dev
7 --------------------------
8
9 * Various
10 - Added "write_xaiger" backend
11 - Added "abc9" pass for timing-aware techmapping (experimental, FPGA only, no FFs)
12 - Added "synth_xilinx -abc9" (experimental)
13 - Added "synth_ice40 -abc9" (experimental)
14 - Added "synth -abc9" (experimental)
15 - Added "script -scriptwire"
16 - Added "synth_xilinx -nocarry"
17 - Added "synth_xilinx -nowidelut"
18 - Added "synth_ecp5 -nowidelut"
19 - "synth_xilinx" to now infer wide multiplexers (-widemux <min> to enable)
20 - Renamed labels/options in synth_ice40 (e.g. dram -> map_lutram; -nodram -> -nolutram)
21 - Renamed labels/options in synth_ecp5 (e.g. dram -> map_lutram; -nodram -> -nolutram)
22 - Renamed labels in synth_intel (e.g. bram -> map_bram)
23 - Renamed labels/options in synth_xilinx (e.g. dram -> map_lutram; -nodram -> -nolutram)
24 - Added automatic gzip decompression for frontends
25 - Added $_NMUX_ cell type
26 - Added automatic gzip compression (based on filename extension) for backends
27 - Improve attribute and parameter encoding in JSON to avoid ambiguities between
28 bit vectors and strings containing [01xz]*
29 - Added "clkbufmap" pass
30 - Added "extractinv" pass and "invertible_pin" attribute
31 - Added "synth_xilinx -family xc6s" for Spartan 6 support (experimental)
32 - Added "synth_xilinx -ise" (experimental)
33 - Added "synth_xilinx -iopad"
34 - "synth_xilinx" now automatically inserts clock buffers (add -noclkbuf to disable)
35 - Improvements in pmgen: subpattern and recursive matches
36 - Added "opt_share" pass, run as part of "opt -full"
37 - Added "ice40_wrapcarry" to encapsulate SB_LUT+SB_CARRY pairs for techmapping
38 - Removed "ice40_unlut"
39 - Improvements in pmgen: slices, choices, define, generate
40 - Added "xilinx_srl" for Xilinx shift register extraction
41 - Removed "shregmap -tech xilinx" (superseded by "xilinx_srl")
42 - Added "_TECHMAP_WIREINIT_*_" attribute and "_TECHMAP_REMOVEINIT_*_" wire for "techmap" pass
43 - Added "-match-init" option to "dff2dffs" pass
44 - Added "techmap_autopurge" support to techmap
45 - Added "add -mod <modname[s]>"
46 - Added +/mul2dsp.v for decomposing wide multipliers to custom-sized ones
47 - Added "ice40_dsp" for Lattice iCE40 DSP packing
48 - Added "xilinx_dsp" for Xilinx DSP packing
49 - "synth_xilinx" to now infer DSP blocks (-nodsp to disable)
50 - "synth_ecp5" to now infer DSP blocks (-nodsp to disable, experimental)
51 - "synth_ice40 -dsp" to infer DSP blocks
52 - Added latch support to synth_xilinx
53 - Added support for flip-flops with synchronous reset to synth_xilinx
54 - Added support for flip-flops with reset and enable to synth_xilinx
55 - Added "check -mapped"
56 - Added checking of SystemVerilog always block types (always_comb,
57 always_latch and always_ff)
58 - Added support for SystemVerilog wildcard port connections (.*)
59 - Added "xilinx_dffopt" pass
60 - Added "scratchpad" pass
61 - Added "abc9 -dff"
62 - Added "synth_xilinx -dff"
63 - Improved support of $readmem[hb] Memory Content File inclusion
64 - Added "opt_lut_ins" pass
65 - Added "logger" pass
66
67 Yosys 0.8 .. Yosys 0.9
68 ----------------------
69
70 * Various
71 - Many bugfixes and small improvements
72 - Added support for SystemVerilog interfaces and modports
73 - Added "write_edif -attrprop"
74 - Added "opt_lut" pass
75 - Added "gate2lut.v" techmap rule
76 - Added "rename -src"
77 - Added "equiv_opt" pass
78 - Added "flowmap" LUT mapping pass
79 - Added "rename -wire" to rename cells based on the wires they drive
80 - Added "bugpoint" for creating minimised testcases
81 - Added "write_edif -gndvccy"
82 - "write_verilog" to escape Verilog keywords
83 - Fixed sign handling of real constants
84 - "write_verilog" to write initial statement for initial flop state
85 - Added pmgen pattern matcher generator
86 - Fixed opt_rmdff handling of $_DFFSR_???_ and $_DLATCHSR_???_
87 - Added "setundef -params" to replace undefined cell parameters
88 - Renamed "yosys -D" to "yosys -U", added "yosys -D" to set Verilog defines
89 - Fixed handling of defparam when default_nettype is none
90 - Fixed "wreduce" flipflop handling
91 - Fixed FIRRTL to Verilog process instance subfield assignment
92 - Added "write_verilog -siminit"
93 - Several fixes and improvements for mem2reg memories
94 - Fixed handling of task output ports in clocked always blocks
95 - Improved handling of and-with-1 and or-with-0 in "opt_expr"
96 - Added "read_aiger" frontend
97 - Added "mutate" pass
98 - Added "hdlname" attribute
99 - Added "rename -output"
100 - Added "read_ilang -lib"
101 - Improved "proc" full_case detection and handling
102 - Added "whitebox" and "lib_whitebox" attributes
103 - Added "read_verilog -nowb", "flatten -wb" and "wbflip"
104 - Added Python bindings and support for Python plug-ins
105 - Added "pmux2shiftx"
106 - Added log_debug framework for reduced default verbosity
107 - Improved "opt_expr" and "opt_clean" handling of (partially) undriven and/or unused wires
108 - Added "peepopt" peephole optimisation pass using pmgen
109 - Added approximate support for SystemVerilog "var" keyword
110 - Added parsing of "specify" blocks into $specrule and $specify[23]
111 - Added support for attributes on parameters and localparams
112 - Added support for parsing attributes on port connections
113 - Added "wreduce -keepdc"
114 - Added support for optimising $dffe and $_DFFE_* cells in "opt_rmdff"
115 - Added Verilog wand/wor wire type support
116 - Added support for elaboration system tasks
117 - Added "muxcover -mux{4,8,16}=<cost>"
118 - Added "muxcover -dmux=<cost>"
119 - Added "muxcover -nopartial"
120 - Added "muxpack" pass
121 - Added "pmux2shiftx -norange"
122 - Added support for "~" in filename parsing
123 - Added "read_verilog -pwires" feature to turn parameters into wires
124 - Fixed sign extension of unsized constants with 'bx and 'bz MSB
125 - Fixed genvar to be a signed type
126 - Added support for attributes on case rules
127 - Added "upto" and "offset" to JSON frontend and backend
128 - Several liberty file parser improvements
129 - Fixed handling of more complex BRAM patterns
130 - Add "write_aiger -I -O -B"
131
132 * Formal Verification
133 - Added $changed support to read_verilog
134 - Added "read_verilog -noassert -noassume -assert-assumes"
135 - Added btor ops for $mul, $div, $mod and $concat
136 - Added yosys-smtbmc support for btor witnesses
137 - Added "supercover" pass
138 - Fixed $global_clock handling vs autowire
139 - Added $dffsr support to "async2sync"
140 - Added "fmcombine" pass
141 - Added memory init support in "write_btor"
142 - Added "cutpoint" pass
143 - Changed "ne" to "neq" in btor2 output
144 - Added support for SVA "final" keyword
145 - Added "fmcombine -initeq -anyeq"
146 - Added timescale and generated-by header to yosys-smtbmc vcd output
147 - Improved BTOR2 handling of undriven wires
148
149 * Verific support
150 - Enabled Verific flags vhdl_support_variable_slice and veri_elaborate_top_level_modules_having_interface_ports
151 - Improved support for asymmetric memories
152 - Added "verific -chparam"
153 - Fixed "verific -extnets" for more complex situations
154 - Added "read -verific" and "read -noverific"
155 - Added "hierarchy -chparam"
156
157 * New back-ends
158 - Added initial Anlogic support
159 - Added initial SmartFusion2 and IGLOO2 support
160
161 * ECP5 support
162 - Added "synth_ecp5 -nowidelut"
163 - Added BRAM inference support to "synth_ecp5"
164 - Added support for transforming Diamond IO and flipflop primitives
165
166 * iCE40 support
167 - Added "ice40_unlut" pass
168 - Added "synth_ice40 -relut"
169 - Added "synth_ice40 -noabc"
170 - Added "synth_ice40 -dffe_min_ce_use"
171 - Added DSP inference support using pmgen
172 - Added support for initialising BRAM primitives from a file
173 - Added iCE40 Ultra RGB LED driver cells
174
175 * Xilinx support
176 - Use "write_edif -pvector bra" for Xilinx EDIF files
177 - Fixes for VPR place and route support with "synth_xilinx"
178 - Added more cell simulation models
179 - Added "synth_xilinx -family"
180 - Added "stat -tech xilinx" to estimate logic cell usage
181 - Added "synth_xilinx -nocarry"
182 - Added "synth_xilinx -nowidelut"
183 - "synth_xilinx" to now infer hard shift registers (-nosrl to disable)
184 - Added support for mapping RAM32X1D
185
186 Yosys 0.7 .. Yosys 0.8
187 ----------------------
188
189 * Various
190 - Many bugfixes and small improvements
191 - Strip debug symbols from installed binary
192 - Replace -ignore_redef with -[no]overwrite in front-ends
193 - Added write_verilog hex dump support, add -nohex option
194 - Added "write_verilog -decimal"
195 - Added "scc -set_attr"
196 - Added "verilog_defines" command
197 - Remember defines from one read_verilog to next
198 - Added support for hierarchical defparam
199 - Added FIRRTL back-end
200 - Improved ABC default scripts
201 - Added "design -reset-vlog"
202 - Added "yosys -W regex", "yosys -w regex", and "yosys -e regex"
203 - Added Verilog $rtoi and $itor support
204 - Added "check -initdrv"
205 - Added "read_blif -wideports"
206 - Added support for SystemVerilog "++" and "--" operators
207 - Added support for SystemVerilog unique, unique0, and priority case
208 - Added "write_edif" options for edif "flavors"
209 - Added support for resetall compiler directive
210 - Added simple C beck-end (bitwise combinatorical only atm)
211 - Added $_ANDNOT_ and $_ORNOT_ cell types
212 - Added cell library aliases to "abc -g"
213 - Added "setundef -anyseq"
214 - Added "chtype" command
215 - Added "design -import"
216 - Added "write_table" command
217 - Added "read_json" command
218 - Added "sim" command
219 - Added "extract_fa" and "extract_reduce" commands
220 - Added "extract_counter" command
221 - Added "opt_demorgan" command
222 - Added support for $size and $bits SystemVerilog functions
223 - Added "blackbox" command
224 - Added "ltp" command
225 - Added support for editline as replacement for readline
226 - Added warnings for driver-driver conflicts between FFs (and other cells) and constants
227 - Added "yosys -E" for creating Makefile dependencies files
228 - Added "synth -noshare"
229 - Added "memory_nordff"
230 - Added "setundef -undef -expose -anyconst"
231 - Added "expose -input"
232 - Added specify/specparam parser support (simply ignore them)
233 - Added "write_blif -inames -iattr"
234 - Added "hierarchy -simcheck"
235 - Added an option to statically link abc into yosys
236 - Added protobuf back-end
237 - Added BLIF parsing support for .conn and .cname
238 - Added read_verilog error checking for reg/wire/logic misuse
239 - Added "make coverage" and ENABLE_GCOV build option
240
241 * Changes in Yosys APIs
242 - Added ConstEval defaultval feature
243 - Added {get,set}_src_attribute() methods on RTLIL::AttrObject
244 - Added SigSpec::is_fully_ones() and Const::is_fully_ones()
245 - Added log_file_warning() and log_file_error() functions
246
247 * Formal Verification
248 - Added "write_aiger"
249 - Added "yosys-smtbmc --aig"
250 - Added "always <positive_int>" to .smtc format
251 - Added $cover cell type and support for cover properties
252 - Added $fair/$live cell type and support for liveness properties
253 - Added smtbmc support for memory vcd dumping
254 - Added "chformal" command
255 - Added "write_smt2 -stbv" and "write_smt2 -stdt"
256 - Fix equiv_simple, old behavior now available with "equiv_simple -short"
257 - Change to Yices2 as default SMT solver (it is GPL now)
258 - Added "yosys-smtbmc --presat" (now default in SymbiYosys)
259 - Added "yosys-smtbmc --smtc-init --smtc-top --noinit"
260 - Added a brand new "write_btor" command for BTOR2
261 - Added clk2fflogic memory support and other improvements
262 - Added "async memory write" support to write_smt2
263 - Simulate clock toggling in yosys-smtbmc VCD output
264 - Added $allseq/$allconst cells for EA-solving
265 - Make -nordff the default in "prep"
266 - Added (* gclk *) attribute
267 - Added "async2sync" pass for single-clock designs with async resets
268
269 * Verific support
270 - Many improvements in Verific front-end
271 - Added proper handling of concurent SVA properties
272 - Map "const" and "rand const" to $anyseq/$anyconst
273 - Added "verific -import -flatten" and "verific -import -extnets"
274 - Added "verific -vlog-incdir -vlog-define -vlog-libdir"
275 - Remove PSL support (because PSL has been removed in upstream Verific)
276 - Improve integration with "hierarchy" command design elaboration
277 - Added YOSYS_NOVERIFIC for running non-verific test cases with verific bin
278 - Added simpilied "read" command that automatically uses verific if available
279 - Added "verific -set-<severity> <msg_id>.."
280 - Added "verific -work <libname>"
281
282 * New back-ends
283 - Added initial Coolrunner-II support
284 - Added initial eASIC support
285 - Added initial ECP5 support
286
287 * GreenPAK Support
288 - Added support for GP_DLATCH, GP_SPI, GP_DCMx, GP_COUNTx, etc.
289
290 * iCE40 Support
291 - Add "synth_ice40 -vpr"
292 - Add "synth_ice40 -nodffe"
293 - Add "synth_ice40 -json"
294 - Add Support for UltraPlus cells
295
296 * MAX10 and Cyclone IV Support
297 - Added initial version of metacommand "synth_intel".
298 - Improved write_verilog command to produce VQM netlist for Quartus Prime.
299 - Added support for MAX10 FPGA family synthesis.
300 - Added support for Cyclone IV family synthesis.
301 - Added example of implementation for DE2i-150 board.
302 - Added example of implementation for MAX10 development kit.
303 - Added LFSR example from Asic World.
304 - Added "dffinit -highlow" for mapping to Intel primitives
305
306
307 Yosys 0.6 .. Yosys 0.7
308 ----------------------
309
310 * Various
311 - Added "yosys -D" feature
312 - Added support for installed plugins in $(DATDIR)/plugins/
313 - Renamed opt_const to opt_expr
314 - Renamed opt_share to opt_merge
315 - Added "prep -flatten" and "synth -flatten"
316 - Added "prep -auto-top" and "synth -auto-top"
317 - Using "mfs" and "lutpack" in ABC lut mapping
318 - Support for abstract modules in chparam
319 - Cleanup abstract modules at end of "hierarchy -top"
320 - Added tristate buffer support to iopadmap
321 - Added opt_expr support for div/mod by power-of-two
322 - Added "select -assert-min <N> -assert-max <N>"
323 - Added "attrmvcp" pass
324 - Added "attrmap" command
325 - Added "tee +INT -INT"
326 - Added "zinit" pass
327 - Added "setparam -type"
328 - Added "shregmap" pass
329 - Added "setundef -init"
330 - Added "nlutmap -assert"
331 - Added $sop cell type and "abc -sop -I <num> -P <num>"
332 - Added "dc2" to default ABC scripts
333 - Added "deminout"
334 - Added "insbuf" command
335 - Added "prep -nomem"
336 - Added "opt_rmdff -keepdc"
337 - Added "prep -nokeepdc"
338 - Added initial version of "synth_gowin"
339 - Added "fsm_expand -full"
340 - Added support for fsm_encoding="user"
341 - Many improvements in GreenPAK4 support
342 - Added black box modules for all Xilinx 7-series lib cells
343 - Added synth_ice40 support for latches via logic loops
344 - Fixed ice40_opt lut unmapping, added "ice40_opt -unlut"
345
346 * Build System
347 - Added ABCEXTERNAL and ABCURL make variables
348 - Added BINDIR, LIBDIR, and DATDIR make variables
349 - Added PKG_CONFIG make variable
350 - Added SEED make variable (for "make test")
351 - Added YOSYS_VER_STR make variable
352 - Updated min GCC requirement to GCC 4.8
353 - Updated required Bison version to Bison 3.x
354
355 * Internal APIs
356 - Added ast.h to exported headers
357 - Added ScriptPass helper class for script-like passes
358 - Added CellEdgesDatabase API
359
360 * Front-ends and Back-ends
361 - Added filename glob support to all front-ends
362 - Added avail (black-box) module params to ilang format
363 - Added $display %m support
364 - Added support for $stop Verilog system task
365 - Added support for SystemVerilog packages
366 - Fixed procedural assignments to non-unique lvalues, e.g. {y,y} = {a,b}
367 - Added support for "active high" and "active low" latches in read_blif and write_blif
368 - Use init value "2" for all uninitialized FFs in BLIF back-end
369 - Added "read_blif -sop"
370 - Added "write_blif -noalias"
371 - Added various write_blif options for VTR support
372 - write_json: also write module attributes.
373 - Added "write_verilog -nodec -nostr -defparam"
374 - Added "read_verilog -norestrict -assume-asserts"
375 - Added support for bus interfaces to "read_liberty -lib"
376 - Added liberty parser support for types within cell decls
377 - Added "write_verilog -renameprefix -v"
378 - Added "write_edif -nogndvcc"
379
380 * Formal Verification
381 - Support for hierarchical designs in smt2 back-end
382 - Yosys-smtbmc: Support for hierarchical VCD dumping
383 - Added $initstate cell type and vlog function
384 - Added $anyconst and $anyseq cell types and vlog functions
385 - Added printing of code loc of failed asserts to yosys-smtbmc
386 - Added memory_memx pass, "memory -memx", and "prep -memx"
387 - Added "proc_mux -ifx"
388 - Added "yosys-smtbmc -g"
389 - Deprecated "write_smt2 -regs" (by default on now)
390 - Made "write_smt2 -bv -mem" default, added "write_smt2 -nobv -nomem"
391 - Added support for memories to smtio.py
392 - Added "yosys-smtbmc --dump-vlogtb"
393 - Added "yosys-smtbmc --smtc --dump-smtc"
394 - Added "yosys-smtbmc --dump-all"
395 - Added assertpmux command
396 - Added "yosys-smtbmc --unroll"
397 - Added $past, $stable, $rose, $fell SVA functions
398 - Added "yosys-smtbmc --noinfo and --dummy"
399 - Added "yosys-smtbmc --noincr"
400 - Added "yosys-smtbmc --cex <filename>"
401 - Added $ff and $_FF_ cell types
402 - Added $global_clock verilog syntax support for creating $ff cells
403 - Added clk2fflogic
404
405
406 Yosys 0.5 .. Yosys 0.6
407 ----------------------
408
409 * Various
410 - Added Contributor Covenant Code of Conduct
411 - Various improvements in dict<> and pool<>
412 - Added hashlib::mfp and refactored SigMap
413 - Improved support for reals as module parameters
414 - Various improvements in SMT2 back-end
415 - Added "keep_hierarchy" attribute
416 - Verilog front-end: define `BLACKBOX in -lib mode
417 - Added API for converting internal cells to AIGs
418 - Added ENABLE_LIBYOSYS Makefile option
419 - Removed "techmap -share_map" (use "-map +/filename" instead)
420 - Switched all Python scripts to Python 3
421 - Added support for $display()/$write() and $finish() to Verilog front-end
422 - Added "yosys-smtbmc" formal verification flow
423 - Added options for clang sanitizers to Makefile
424
425 * New commands and options
426 - Added "scc -expect <N> -nofeedback"
427 - Added "proc_dlatch"
428 - Added "check"
429 - Added "select %xe %cie %coe %M %C %R"
430 - Added "sat -dump_json" (WaveJSON format)
431 - Added "sat -tempinduct-baseonly -tempinduct-inductonly"
432 - Added "sat -stepsize" and "sat -tempinduct-step"
433 - Added "sat -show-regs -show-public -show-all"
434 - Added "write_json" (Native Yosys JSON format)
435 - Added "write_blif -attr"
436 - Added "dffinit"
437 - Added "chparam"
438 - Added "muxcover"
439 - Added "pmuxtree"
440 - Added memory_bram "make_outreg" feature
441 - Added "splice -wires"
442 - Added "dff2dffe -direct-match"
443 - Added simplemap $lut support
444 - Added "read_blif"
445 - Added "opt_share -share_all"
446 - Added "aigmap"
447 - Added "write_smt2 -mem -regs -wires"
448 - Added "memory -nordff"
449 - Added "write_smv"
450 - Added "synth -nordff -noalumacc"
451 - Added "rename -top new_name"
452 - Added "opt_const -clkinv"
453 - Added "synth -nofsm"
454 - Added "miter -assert"
455 - Added "read_verilog -noautowire"
456 - Added "read_verilog -nodpi"
457 - Added "tribuf"
458 - Added "lut2mux"
459 - Added "nlutmap"
460 - Added "qwp"
461 - Added "test_cell -noeval"
462 - Added "edgetypes"
463 - Added "equiv_struct"
464 - Added "equiv_purge"
465 - Added "equiv_mark"
466 - Added "equiv_add -try -cell"
467 - Added "singleton"
468 - Added "abc -g -luts"
469 - Added "torder"
470 - Added "write_blif -cname"
471 - Added "submod -copy"
472 - Added "dffsr2dff"
473 - Added "stat -liberty"
474
475 * Synthesis metacommands
476 - Various improvements in synth_xilinx
477 - Added synth_ice40 and synth_greenpak4
478 - Added "prep" metacommand for "synthesis lite"
479
480 * Cell library changes
481 - Added cell types to "help" system
482 - Added $meminit cell type
483 - Added $assume cell type
484 - Added $_MUX4_, $_MUX8_, and $_MUX16_ cells
485 - Added $tribuf and $_TBUF_ cell types
486 - Added read-enable to memory model
487
488 * YosysJS
489 - Various improvements in emscripten build
490 - Added alternative webworker-based JS API
491 - Added a few example applications
492
493
494 Yosys 0.4 .. Yosys 0.5
495 ----------------------
496
497 * API changes
498 - Added log_warning()
499 - Added eval_select_args() and eval_select_op()
500 - Added cell->known(), cell->input(portname), cell->output(portname)
501 - Skip blackbox modules in design->selected_modules()
502 - Replaced std::map<> and std::set<> with dict<> and pool<>
503 - New SigSpec::extend() is what used to be SigSpec::extend_u0()
504 - Added YS_OVERRIDE, YS_FINAL, YS_ATTRIBUTE, YS_NORETURN
505
506 * Cell library changes
507 - Added flip-flops with enable ($dffe etc.)
508 - Added $equiv cells for equivalence checking framework
509
510 * Various
511 - Updated ABC to hg rev 61ad5f908c03
512 - Added clock domain partitioning to ABC pass
513 - Improved plugin building (see "yosys-config --build")
514 - Added ENABLE_NDEBUG Makefile flag for high-performance builds
515 - Added "yosys -d", "yosys -L" and other driver improvements
516 - Added support for multi-bit (array) cell ports to "write_edif"
517 - Now printing most output to stdout, not stderr
518 - Added "onehot" attribute (set by "fsm_map")
519 - Various performance improvements
520 - Vastly improved Xilinx flow
521 - Added "make unsintall"
522
523 * Equivalence checking
524 - Added equivalence checking commands:
525 equiv_make equiv_simple equiv_status
526 equiv_induct equiv_miter
527 equiv_add equiv_remove
528
529 * Block RAM support:
530 - Added "memory_bram" command
531 - Added BRAM support to Xilinx flow
532
533 * Other New Commands and Options
534 - Added "dff2dffe"
535 - Added "fsm -encfile"
536 - Added "dfflibmap -prepare"
537 - Added "write_blid -unbuf -undef -blackbox"
538 - Added "write_smt2" for writing SMT-LIBv2 files
539 - Added "test_cell -w -muxdiv"
540 - Added "select -read"
541
542
543 Yosys 0.3.0 .. Yosys 0.4
544 ------------------------
545
546 * Platform Support
547 - Added support for mxe-based cross-builds for win32
548 - Added sourcecode-export as VisualStudio project
549 - Added experimental EMCC (JavaScript) support
550
551 * Verilog Frontend
552 - Added -sv option for SystemVerilog (and automatic *.sv file support)
553 - Added support for real-valued constants and constant expressions
554 - Added support for non-standard "via_celltype" attribute on task/func
555 - Added support for non-standard "module mod_name(...);" syntax
556 - Added support for non-standard """ macro bodies
557 - Added support for array with more than one dimension
558 - Added support for $readmemh and $readmemb
559 - Added support for DPI functions
560
561 * Changes in internal cell library
562 - Added $shift and $shiftx cell types
563 - Added $alu, $lcu, $fa and $macc cell types
564 - Removed $bu0 and $safe_pmux cell types
565 - $mem/$memwr WR_EN input is now a per-data-bit enable signal
566 - Added $_NAND_ $_NOR_ $_XNOR_ $_AOI3_ $_OAI3_ $_AOI4_ $_OAI4_
567 - Renamed ports of $lut cells (from I->O to A->Y)
568 - Renamed $_INV_ to $_NOT_
569
570 * Changes for simple synthesis flows
571 - There is now a "synth" command with a recommended default script
572 - Many improvements in synthesis of arithmetic functions to gates
573 - Multipliers and adders with many operands are using carry-save adder trees
574 - Remaining adders are now implemented using Brent-Kung carry look-ahead adders
575 - Various new high-level optimizations on RTL netlist
576 - Various improvements in FSM optimization
577 - Updated ABC to hg 5b5af75f1dda (from 2014-11-07)
578
579 * Changes in internal APIs and RTLIL
580 - Added log_id() and log_cell() helper functions
581 - Added function-like cell creation helpers
582 - Added GetSize() function (like .size() but with int)
583 - Major refactoring of RTLIL::Module and related classes
584 - Major refactoring of RTLIL::SigSpec and related classes
585 - Now RTLIL::IdString is essentially an int
586 - Added macros for code coverage counters
587 - Added some Makefile magic for pretty make logs
588 - Added "kernel/yosys.h" with all the core definitions
589 - Changed a lot of code from FILE* to c++ streams
590 - Added RTLIL::Monitor API and "trace" command
591 - Added "Yosys" C++ namespace
592
593 * Changes relevant to SAT solving
594 - Added ezSAT::keep_cnf() and ezSAT::non_incremental()
595 - Added native ezSAT support for vector shift ops
596 - Updated MiniSAT to git 37dc6c67e2 (from 2013-09-25)
597
598 * New commands (or large improvements to commands)
599 - Added "synth" command with default script
600 - Added "share" (finally some real resource sharing)
601 - Added "memory_share" (reduce number of ports on memories)
602 - Added "wreduce" and "alumacc" commands
603 - Added "opt -keepdc -fine -full -fast"
604 - Added some "test_*" commands
605
606 * Various other changes
607 - Added %D and %c select operators
608 - Added support for labels in yosys scripts
609 - Added support for here-documents in yosys scripts
610 - Support "+/" prefix for files from proc_share_dir
611 - Added "autoidx" statement to ilang language
612 - Switched from "yosys-svgviewer" to "xdot"
613 - Renamed "stdcells.v" to "techmap.v"
614 - Various bug fixes and small improvements
615 - Improved welcome and bye messages
616
617
618 Yosys 0.2.0 .. Yosys 0.3.0
619 --------------------------
620
621 * Driver program and overall behavior:
622 - Added "design -push" and "design -pop"
623 - Added "tee" command for redirecting log output
624
625 * Changes in the internal cell library:
626 - Added $dlatchsr and $_DLATCHSR_???_ cell types
627
628 * Improvements in Verilog frontend:
629 - Improved support for const functions (case, always, repeat)
630 - The generate..endgenerate keywords are now optional
631 - Added support for arrays of module instances
632 - Added support for "`default_nettype" directive
633 - Added support for "`line" directive
634
635 * Other front- and back-ends:
636 - Various changes to "write_blif" options
637 - Various improvements in EDIF backend
638 - Added "vhdl2verilog" pseudo-front-end
639 - Added "verific" pseudo-front-end
640
641 * Improvements in technology mapping:
642 - Added support for recursive techmap
643 - Added CONSTMSK and CONSTVAL features to techmap
644 - Added _TECHMAP_CONNMAP_*_ feature to techmap
645 - Added _TECHMAP_REPLACE_ feature to techmap
646 - Added "connwrappers" command for wrap-extract-unwrap method
647 - Added "extract -map %<design_name>" feature
648 - Added "extract -ignore_param ..." and "extract -ignore_parameters"
649 - Added "techmap -max_iter" option
650
651 * Improvements to "eval" and "sat" framework:
652 - Now include a copy of Minisat (with build fixes applied)
653 - Switched to Minisat::SimpSolver as SAT back-end
654 - Added "sat -dump_vcd" feature
655 - Added "sat -dump_cnf" feature
656 - Added "sat -initsteps <N>" feature
657 - Added "freduce -stop <N>" feature
658 - Added "freduce -dump <prefix>" feature
659
660 * Integration with ABC:
661 - Updated ABC rev to 7600ffb9340c
662
663 * Improvements in the internal APIs:
664 - Added RTLIL::Module::add... helper methods
665 - Various build fixes for OSX (Darwin) and OpenBSD
666
667
668 Yosys 0.1.0 .. Yosys 0.2.0
669 --------------------------
670
671 * Changes to the driver program:
672 - Added "yosys -h" and "yosys -H"
673 - Added support for backslash line continuation in scripts
674 - Added support for #-comments in same line as command
675 - Added "echo" and "log" commands
676
677 * Improvements in Verilog frontend:
678 - Added support for local registers in named blocks
679 - Added support for "case" in "generate" blocks
680 - Added support for $clog2 system function
681 - Added support for basic SystemVerilog assert statements
682 - Added preprocessor support for macro arguments
683 - Added preprocessor support for `elsif statement
684 - Added "verilog_defaults" command
685 - Added read_verilog -icells option
686 - Added support for constant sizes from parameters
687 - Added "read_verilog -setattr"
688 - Added support for function returning 'integer'
689 - Added limited support for function calls in parameter values
690 - Added "read_verilog -defer" to suppress evaluation of modules with default parameters
691
692 * Other front- and back-ends:
693 - Added BTOR backend
694 - Added Liberty frontend
695
696 * Improvements in technology mapping:
697 - The "dfflibmap" command now strongly prefers solutions with
698 no inverters in clock paths
699 - The "dfflibmap" command now prefers cells with smaller area
700 - Added support for multiple -map options to techmap
701 - Added "dfflibmap" support for //-comments in liberty files
702 - Added "memory_unpack" command to revert "memory_collect"
703 - Added standard techmap rule "techmap -share_map pmux2mux.v"
704 - Added "iopadmap -bits"
705 - Added "setundef" command
706 - Added "hilomap" command
707
708 * Changes in the internal cell library:
709 - Major rewrite of simlib.v for better compatibility with other tools
710 - Added PRIORITY parameter to $memwr cells
711 - Added TRANSPARENT parameter to $memrd cells
712 - Added RD_TRANSPARENT parameter to $mem cells
713 - Added $bu0 cell (always 0-extend, even undef MSB)
714 - Added $assert cell type
715 - Added $slice and $concat cell types
716
717 * Integration with ABC:
718 - Updated ABC to hg rev 2058c8ccea68
719 - Tighter integration of ABC build with Yosys build. The make
720 targets 'make abc' and 'make install-abc' are now obsolete.
721 - Added support for passing FFs from one clock domain through ABC
722 - Now always use BLIF as exchange format with ABC
723 - Added support for "abc -script +<command_sequence>"
724 - Improved standard ABC recipe
725 - Added support for "keep" attribute to abc command
726 - Added "abc -dff / -clk / -keepff" options
727
728 * Improvements to "eval" and "sat" framework:
729 - Added support for "0" and "~0" in right-hand side -set expressions
730 - Added "eval -set-undef" and "eval -table"
731 - Added "sat -set-init" and "sat -set-init-*" for sequential problems
732 - Added undef support to SAT solver, incl. various new "sat" options
733 - Added correct support for === and !== for "eval" and "sat"
734 - Added "sat -tempinduct" (default -seq is now non-induction sequential)
735 - Added "sat -prove-asserts"
736 - Complete rewrite of the 'freduce' command
737 - Added "miter" command
738 - Added "sat -show-inputs" and "sat -show-outputs"
739 - Added "sat -ignore_unknown_cells" (now produce an error by default)
740 - Added "sat -falsify"
741 - Now "sat -verify" and "sat -falsify" can also be used without "-prove"
742 - Added "expose" command
743 - Added support for @<sel_name> to sat and eval signal expressions
744
745 * Changes in the 'make test' framework and auxiliary test tools:
746 - Added autotest.sh -p and -f options
747 - Replaced autotest.sh ISIM support with XSIM support
748 - Added test cases for SAT framework
749
750 * Added "abbreviated IDs":
751 - Now $<something>$foo can be abbreviated as $foo.
752 - Usually this last part is a unique id (from RTLIL::autoidx)
753 - This abbreviated IDs are now also used in "show" output
754
755 * Other changes to selection framework:
756 - Now */ is optional in */<mode>:<arg> expressions
757 - Added "select -assert-none" and "select -assert-any"
758 - Added support for matching modules by attribute (A:<expr>)
759 - Added "select -none"
760 - Added support for r:<expr> pattern for matching cell parameters
761 - Added support for !=, <, <=, >=, > for attribute and parameter matching
762 - Added support for %s for selecting sub-modules
763 - Added support for %m for expanding selections to whole modules
764 - Added support for i:*, o:* and x:* pattern for selecting module ports
765 - Added support for s:<expr> pattern for matching wire width
766 - Added support for %a operation to select wire aliases
767
768 * Various other changes to commands and options:
769 - The "ls" command now supports wildcards
770 - Added "show -pause" and "show -format dot"
771 - Added "show -color" support for cells
772 - Added "show -label" and "show -notitle"
773 - Added "dump -m" and "dump -n"
774 - Added "history" command
775 - Added "rename -hide"
776 - Added "connect" command
777 - Added "splitnets -driver"
778 - Added "opt_const -mux_undef"
779 - Added "opt_const -mux_bool"
780 - Added "opt_const -undriven"
781 - Added "opt -mux_undef -mux_bool -undriven -purge"
782 - Added "hierarchy -libdir"
783 - Added "hierarchy -purge_lib" (by default now do not remove lib cells)
784 - Added "delete" command
785 - Added "dump -append"
786 - Added "setattr" and "setparam" commands
787 - Added "design -stash/-copy-from/-copy-to"
788 - Added "copy" command
789 - Added "splice" command
790