Merge pull request #786 from YosysHQ/pmgen
[yosys.git] / CHANGELOG
1
2 List of major changes and improvements between releases
3 =======================================================
4
5
6 Yosys 0.8 .. Yosys 0.8-dev
7 --------------------------
8
9 * Various
10 - Added $changed support to read_verilog
11 - Added "write_edif -attrprop"
12 - Added "ice40_unlut" pass
13 - Added "opt_lut" pass
14 - Added "synth_ice40 -relut"
15 - Added "synth_ice40 -noabc"
16 - Added "gate2lut.v" techmap rule
17 - Added "rename -src"
18 - Added "equiv_opt" pass
19
20
21 Yosys 0.7 .. Yosys 0.8
22 ----------------------
23
24 * Various
25 - Many bugfixes and small improvements
26 - Strip debug symbols from installed binary
27 - Replace -ignore_redef with -[no]overwrite in front-ends
28 - Added write_verilog hex dump support, add -nohex option
29 - Added "write_verilog -decimal"
30 - Added "scc -set_attr"
31 - Added "verilog_defines" command
32 - Remeber defines from one read_verilog to next
33 - Added support for hierarchical defparam
34 - Added FIRRTL back-end
35 - Improved ABC default scripts
36 - Added "design -reset-vlog"
37 - Added "yosys -W regex", "yosys -w regex", and "yosys -e regex"
38 - Added Verilog $rtoi and $itor support
39 - Added "check -initdrv"
40 - Added "read_blif -wideports"
41 - Added support for systemVerilog "++" and "--" operators
42 - Added support for SystemVerilog unique, unique0, and priority case
43 - Added "write_edif" options for edif "flavors"
44 - Added support for resetall compiler directive
45 - Added simple C beck-end (bitwise combinatorical only atm)
46 - Added $_ANDNOT_ and $_ORNOT_ cell types
47 - Added cell library aliases to "abc -g"
48 - Added "setundef -anyseq"
49 - Added "chtype" command
50 - Added "design -import"
51 - Added "write_table" command
52 - Added "read_json" command
53 - Added "sim" command
54 - Added "extract_fa" and "extract_reduce" commands
55 - Added "extract_counter" command
56 - Added "opt_demorgan" command
57 - Added support for $size and $bits SystemVerilog functions
58 - Added "blackbox" command
59 - Added "ltp" command
60 - Added support for editline as replacement for readline
61 - Added warnings for driver-driver conflicts between FFs (and other cells) and constants
62 - Added "yosys -E" for creating Makefile dependencies files
63 - Added "synth -noshare"
64 - Added "memory_nordff"
65 - Added "setundef -undef -expose -anyconst"
66 - Added "expose -input"
67 - Added specify/specparam parser support (simply ignore them)
68 - Added "write_blif -inames -iattr"
69 - Added "hierarchy -simcheck"
70 - Added an option to statically link abc into yosys
71 - Added protobuf back-end
72 - Added BLIF parsing support for .conn and .cname
73 - Added read_verilog error checking for reg/wire/logic misuse
74 - Added "make coverage" and ENABLE_GCOV build option
75
76 * Changes in Yosys APIs
77 - Added ConstEval defaultval feature
78 - Added {get,set}_src_attribute() methods on RTLIL::AttrObject
79 - Added SigSpec::is_fully_ones() and Const::is_fully_ones()
80 - Added log_file_warning() and log_file_error() functions
81
82 * Formal Verification
83 - Added "write_aiger"
84 - Added "yosys-smtbmc --aig"
85 - Added "always <positive_int>" to .smtc format
86 - Added $cover cell type and support for cover properties
87 - Added $fair/$live cell type and support for liveness properties
88 - Added smtbmc support for memory vcd dumping
89 - Added "chformal" command
90 - Added "write_smt2 -stbv" and "write_smt2 -stdt"
91 - Fix equiv_simple, old behavior now available with "equiv_simple -short"
92 - Change to Yices2 as default SMT solver (it is GPL now)
93 - Added "yosys-smtbmc --presat" (now default in SymbiYosys)
94 - Added "yosys-smtbmc --smtc-init --smtc-top --noinit"
95 - Added a brand new "write_btor" command for BTOR2
96 - Added clk2fflogic memory support and other improvements
97 - Added "async memory write" support to write_smt2
98 - Simulate clock toggling in yosys-smtbmc VCD output
99 - Added $allseq/$allconst cells for EA-solving
100 - Make -nordff the default in "prep"
101 - Added (* gclk *) attribute
102 - Added "async2sync" pass for single-clock designs with async resets
103
104 * Verific support
105 - Many improvements in Verific front-end
106 - Added proper handling of concurent SVA properties
107 - Map "const" and "rand const" to $anyseq/$anyconst
108 - Added "verific -import -flatten" and "verific -import -extnets"
109 - Added "verific -vlog-incdir -vlog-define -vlog-libdir"
110 - Remove PSL support (because PSL has been removed in upstream Verific)
111 - Improve integration with "hierarchy" command design elaboration
112 - Added YOSYS_NOVERIFIC for running non-verific test cases with verific bin
113 - Added simpilied "read" command that automatically uses verific if available
114 - Added "verific -set-<severity> <msg_id>.."
115 - Added "verific -work <libname>"
116
117 * New back-ends
118 - Added initial Coolrunner-II support
119 - Added initial eASIC support
120 - Added initial ECP5 support
121
122 * GreenPAK Support
123 - Added support for GP_DLATCH, GP_SPI, GP_DCMx, GP_COUNTx, etc.
124
125 * iCE40 Support
126 - Add "synth_ice40 -vpr"
127 - Add "synth_ice40 -nodffe"
128 - Add "synth_ice40 -json"
129 - Add Support for UltraPlus cells
130
131 * MAX10 and Cyclone IV Support
132 - Added initial version of metacommand "synth_intel".
133 - Improved write_verilog command to produce VQM netlist for Quartus Prime.
134 - Added support for MAX10 FPGA family synthesis.
135 - Added support for Cyclone IV family synthesis.
136 - Added example of implementation for DE2i-150 board.
137 - Added example of implementation for MAX10 development kit.
138 - Added LFSR example from Asic World.
139 - Added "dffinit -highlow" for mapping to Intel primitives
140
141
142 Yosys 0.6 .. Yosys 0.7
143 ----------------------
144
145 * Various
146 - Added "yosys -D" feature
147 - Added support for installed plugins in $(DATDIR)/plugins/
148 - Renamed opt_const to opt_expr
149 - Renamed opt_share to opt_merge
150 - Added "prep -flatten" and "synth -flatten"
151 - Added "prep -auto-top" and "synth -auto-top"
152 - Using "mfs" and "lutpack" in ABC lut mapping
153 - Support for abstract modules in chparam
154 - Cleanup abstract modules at end of "hierarchy -top"
155 - Added tristate buffer support to iopadmap
156 - Added opt_expr support for div/mod by power-of-two
157 - Added "select -assert-min <N> -assert-max <N>"
158 - Added "attrmvcp" pass
159 - Added "attrmap" command
160 - Added "tee +INT -INT"
161 - Added "zinit" pass
162 - Added "setparam -type"
163 - Added "shregmap" pass
164 - Added "setundef -init"
165 - Added "nlutmap -assert"
166 - Added $sop cell type and "abc -sop -I <num> -P <num>"
167 - Added "dc2" to default ABC scripts
168 - Added "deminout"
169 - Added "insbuf" command
170 - Added "prep -nomem"
171 - Added "opt_rmdff -keepdc"
172 - Added "prep -nokeepdc"
173 - Added initial version of "synth_gowin"
174 - Added "fsm_expand -full"
175 - Added support for fsm_encoding="user"
176 - Many improvements in GreenPAK4 support
177 - Added black box modules for all Xilinx 7-series lib cells
178 - Added synth_ice40 support for latches via logic loops
179 - Fixed ice40_opt lut unmapping, added "ice40_opt -unlut"
180
181 * Build System
182 - Added ABCEXTERNAL and ABCURL make variables
183 - Added BINDIR, LIBDIR, and DATDIR make variables
184 - Added PKG_CONFIG make variable
185 - Added SEED make variable (for "make test")
186 - Added YOSYS_VER_STR make variable
187 - Updated min GCC requirement to GCC 4.8
188 - Updated required Bison version to Bison 3.x
189
190 * Internal APIs
191 - Added ast.h to exported headers
192 - Added ScriptPass helper class for script-like passes
193 - Added CellEdgesDatabase API
194
195 * Front-ends and Back-ends
196 - Added filename glob support to all front-ends
197 - Added avail (black-box) module params to ilang format
198 - Added $display %m support
199 - Added support for $stop Verilog system task
200 - Added support for SystemVerilog packages
201 - Fixed procedural assignments to non-unique lvalues, e.g. {y,y} = {a,b}
202 - Added support for "active high" and "active low" latches in read_blif and write_blif
203 - Use init value "2" for all uninitialized FFs in BLIF back-end
204 - Added "read_blif -sop"
205 - Added "write_blif -noalias"
206 - Added various write_blif options for VTR support
207 - write_json: also write module attributes.
208 - Added "write_verilog -nodec -nostr -defparam"
209 - Added "read_verilog -norestrict -assume-asserts"
210 - Added support for bus interfaces to "read_liberty -lib"
211 - Added liberty parser support for types within cell decls
212 - Added "write_verilog -renameprefix -v"
213 - Added "write_edif -nogndvcc"
214
215 * Formal Verification
216 - Support for hierarchical designs in smt2 back-end
217 - Yosys-smtbmc: Support for hierarchical VCD dumping
218 - Added $initstate cell type and vlog function
219 - Added $anyconst and $anyseq cell types and vlog functions
220 - Added printing of code loc of failed asserts to yosys-smtbmc
221 - Added memory_memx pass, "memory -memx", and "prep -memx"
222 - Added "proc_mux -ifx"
223 - Added "yosys-smtbmc -g"
224 - Deprecated "write_smt2 -regs" (by default on now)
225 - Made "write_smt2 -bv -mem" default, added "write_smt2 -nobv -nomem"
226 - Added support for memories to smtio.py
227 - Added "yosys-smtbmc --dump-vlogtb"
228 - Added "yosys-smtbmc --smtc --dump-smtc"
229 - Added "yosys-smtbmc --dump-all"
230 - Added assertpmux command
231 - Added "yosys-smtbmc --unroll"
232 - Added $past, $stable, $rose, $fell SVA functions
233 - Added "yosys-smtbmc --noinfo and --dummy"
234 - Added "yosys-smtbmc --noincr"
235 - Added "yosys-smtbmc --cex <filename>"
236 - Added $ff and $_FF_ cell types
237 - Added $global_clock verilog syntax support for creating $ff cells
238 - Added clk2fflogic
239
240
241 Yosys 0.5 .. Yosys 0.6
242 ----------------------
243
244 * Various
245 - Added Contributor Covenant Code of Conduct
246 - Various improvements in dict<> and pool<>
247 - Added hashlib::mfp and refactored SigMap
248 - Improved support for reals as module parameters
249 - Various improvements in SMT2 back-end
250 - Added "keep_hierarchy" attribute
251 - Verilog front-end: define `BLACKBOX in -lib mode
252 - Added API for converting internal cells to AIGs
253 - Added ENABLE_LIBYOSYS Makefile option
254 - Removed "techmap -share_map" (use "-map +/filename" instead)
255 - Switched all Python scripts to Python 3
256 - Added support for $display()/$write() and $finish() to Verilog front-end
257 - Added "yosys-smtbmc" formal verification flow
258 - Added options for clang sanitizers to Makefile
259
260 * New commands and options
261 - Added "scc -expect <N> -nofeedback"
262 - Added "proc_dlatch"
263 - Added "check"
264 - Added "select %xe %cie %coe %M %C %R"
265 - Added "sat -dump_json" (WaveJSON format)
266 - Added "sat -tempinduct-baseonly -tempinduct-inductonly"
267 - Added "sat -stepsize" and "sat -tempinduct-step"
268 - Added "sat -show-regs -show-public -show-all"
269 - Added "write_json" (Native Yosys JSON format)
270 - Added "write_blif -attr"
271 - Added "dffinit"
272 - Added "chparam"
273 - Added "muxcover"
274 - Added "pmuxtree"
275 - Added memory_bram "make_outreg" feature
276 - Added "splice -wires"
277 - Added "dff2dffe -direct-match"
278 - Added simplemap $lut support
279 - Added "read_blif"
280 - Added "opt_share -share_all"
281 - Added "aigmap"
282 - Added "write_smt2 -mem -regs -wires"
283 - Added "memory -nordff"
284 - Added "write_smv"
285 - Added "synth -nordff -noalumacc"
286 - Added "rename -top new_name"
287 - Added "opt_const -clkinv"
288 - Added "synth -nofsm"
289 - Added "miter -assert"
290 - Added "read_verilog -noautowire"
291 - Added "read_verilog -nodpi"
292 - Added "tribuf"
293 - Added "lut2mux"
294 - Added "nlutmap"
295 - Added "qwp"
296 - Added "test_cell -noeval"
297 - Added "edgetypes"
298 - Added "equiv_struct"
299 - Added "equiv_purge"
300 - Added "equiv_mark"
301 - Added "equiv_add -try -cell"
302 - Added "singleton"
303 - Added "abc -g -luts"
304 - Added "torder"
305 - Added "write_blif -cname"
306 - Added "submod -copy"
307 - Added "dffsr2dff"
308 - Added "stat -liberty"
309
310 * Synthesis metacommands
311 - Various improvements in synth_xilinx
312 - Added synth_ice40 and synth_greenpak4
313 - Added "prep" metacommand for "synthesis lite"
314
315 * Cell library changes
316 - Added cell types to "help" system
317 - Added $meminit cell type
318 - Added $assume cell type
319 - Added $_MUX4_, $_MUX8_, and $_MUX16_ cells
320 - Added $tribuf and $_TBUF_ cell types
321 - Added read-enable to memory model
322
323 * YosysJS
324 - Various improvements in emscripten build
325 - Added alternative webworker-based JS API
326 - Added a few example applications
327
328
329 Yosys 0.4 .. Yosys 0.5
330 ----------------------
331
332 * API changes
333 - Added log_warning()
334 - Added eval_select_args() and eval_select_op()
335 - Added cell->known(), cell->input(portname), cell->output(portname)
336 - Skip blackbox modules in design->selected_modules()
337 - Replaced std::map<> and std::set<> with dict<> and pool<>
338 - New SigSpec::extend() is what used to be SigSpec::extend_u0()
339 - Added YS_OVERRIDE, YS_FINAL, YS_ATTRIBUTE, YS_NORETURN
340
341 * Cell library changes
342 - Added flip-flops with enable ($dffe etc.)
343 - Added $equiv cells for equivalence checking framework
344
345 * Various
346 - Updated ABC to hg rev 61ad5f908c03
347 - Added clock domain partitioning to ABC pass
348 - Improved plugin building (see "yosys-config --build")
349 - Added ENABLE_NDEBUG Makefile flag for high-performance builds
350 - Added "yosys -d", "yosys -L" and other driver improvements
351 - Added support for multi-bit (array) cell ports to "write_edif"
352 - Now printing most output to stdout, not stderr
353 - Added "onehot" attribute (set by "fsm_map")
354 - Various performance improvements
355 - Vastly improved Xilinx flow
356 - Added "make unsintall"
357
358 * Equivalence checking
359 - Added equivalence checking commands:
360 equiv_make equiv_simple equiv_status
361 equiv_induct equiv_miter
362 equiv_add equiv_remove
363
364 * Block RAM support:
365 - Added "memory_bram" command
366 - Added BRAM support to Xilinx flow
367
368 * Other New Commands and Options
369 - Added "dff2dffe"
370 - Added "fsm -encfile"
371 - Added "dfflibmap -prepare"
372 - Added "write_blid -unbuf -undef -blackbox"
373 - Added "write_smt2" for writing SMT-LIBv2 files
374 - Added "test_cell -w -muxdiv"
375 - Added "select -read"
376
377
378 Yosys 0.3.0 .. Yosys 0.4
379 ------------------------
380
381 * Platform Support
382 - Added support for mxe-based cross-builds for win32
383 - Added sourcecode-export as VisualStudio project
384 - Added experimental EMCC (JavaScript) support
385
386 * Verilog Frontend
387 - Added -sv option for SystemVerilog (and automatic *.sv file support)
388 - Added support for real-valued constants and constant expressions
389 - Added support for non-standard "via_celltype" attribute on task/func
390 - Added support for non-standard "module mod_name(...);" syntax
391 - Added support for non-standard """ macro bodies
392 - Added support for array with more than one dimension
393 - Added support for $readmemh and $readmemb
394 - Added support for DPI functions
395
396 * Changes in internal cell library
397 - Added $shift and $shiftx cell types
398 - Added $alu, $lcu, $fa and $macc cell types
399 - Removed $bu0 and $safe_pmux cell types
400 - $mem/$memwr WR_EN input is now a per-data-bit enable signal
401 - Added $_NAND_ $_NOR_ $_XNOR_ $_AOI3_ $_OAI3_ $_AOI4_ $_OAI4_
402 - Renamed ports of $lut cells (from I->O to A->Y)
403 - Renamed $_INV_ to $_NOT_
404
405 * Changes for simple synthesis flows
406 - There is now a "synth" command with a recommended default script
407 - Many improvements in synthesis of arithmetic functions to gates
408 - Multipliers and adders with many operands are using carry-save adder trees
409 - Remaining adders are now implemented using Brent-Kung carry look-ahead adders
410 - Various new high-level optimizations on RTL netlist
411 - Various improvements in FSM optimization
412 - Updated ABC to hg 5b5af75f1dda (from 2014-11-07)
413
414 * Changes in internal APIs and RTLIL
415 - Added log_id() and log_cell() helper functions
416 - Added function-like cell creation helpers
417 - Added GetSize() function (like .size() but with int)
418 - Major refactoring of RTLIL::Module and related classes
419 - Major refactoring of RTLIL::SigSpec and related classes
420 - Now RTLIL::IdString is essentially an int
421 - Added macros for code coverage counters
422 - Added some Makefile magic for pretty make logs
423 - Added "kernel/yosys.h" with all the core definitions
424 - Changed a lot of code from FILE* to c++ streams
425 - Added RTLIL::Monitor API and "trace" command
426 - Added "Yosys" C++ namespace
427
428 * Changes relevant to SAT solving
429 - Added ezSAT::keep_cnf() and ezSAT::non_incremental()
430 - Added native ezSAT support for vector shift ops
431 - Updated MiniSAT to git 37dc6c67e2 (from 2013-09-25)
432
433 * New commands (or large improvements to commands)
434 - Added "synth" command with default script
435 - Added "share" (finally some real resource sharing)
436 - Added "memory_share" (reduce number of ports on memories)
437 - Added "wreduce" and "alumacc" commands
438 - Added "opt -keepdc -fine -full -fast"
439 - Added some "test_*" commands
440
441 * Various other changes
442 - Added %D and %c select operators
443 - Added support for labels in yosys scripts
444 - Added support for here-documents in yosys scripts
445 - Support "+/" prefix for files from proc_share_dir
446 - Added "autoidx" statement to ilang language
447 - Switched from "yosys-svgviewer" to "xdot"
448 - Renamed "stdcells.v" to "techmap.v"
449 - Various bug fixes and small improvements
450 - Improved welcome and bye messages
451
452
453 Yosys 0.2.0 .. Yosys 0.3.0
454 --------------------------
455
456 * Driver program and overall behavior:
457 - Added "design -push" and "design -pop"
458 - Added "tee" command for redirecting log output
459
460 * Changes in the internal cell library:
461 - Added $dlatchsr and $_DLATCHSR_???_ cell types
462
463 * Improvements in Verilog frontend:
464 - Improved support for const functions (case, always, repeat)
465 - The generate..endgenerate keywords are now optional
466 - Added support for arrays of module instances
467 - Added support for "`default_nettype" directive
468 - Added support for "`line" directive
469
470 * Other front- and back-ends:
471 - Various changes to "write_blif" options
472 - Various improvements in EDIF backend
473 - Added "vhdl2verilog" pseudo-front-end
474 - Added "verific" pseudo-front-end
475
476 * Improvements in technology mapping:
477 - Added support for recursive techmap
478 - Added CONSTMSK and CONSTVAL features to techmap
479 - Added _TECHMAP_CONNMAP_*_ feature to techmap
480 - Added _TECHMAP_REPLACE_ feature to techmap
481 - Added "connwrappers" command for wrap-extract-unwrap method
482 - Added "extract -map %<design_name>" feature
483 - Added "extract -ignore_param ..." and "extract -ignore_parameters"
484 - Added "techmap -max_iter" option
485
486 * Improvements to "eval" and "sat" framework:
487 - Now include a copy of Minisat (with build fixes applied)
488 - Switched to Minisat::SimpSolver as SAT back-end
489 - Added "sat -dump_vcd" feature
490 - Added "sat -dump_cnf" feature
491 - Added "sat -initsteps <N>" feature
492 - Added "freduce -stop <N>" feature
493 - Added "freduce -dump <prefix>" feature
494
495 * Integration with ABC:
496 - Updated ABC rev to 7600ffb9340c
497
498 * Improvements in the internal APIs:
499 - Added RTLIL::Module::add... helper methods
500 - Various build fixes for OSX (Darwin) and OpenBSD
501
502
503 Yosys 0.1.0 .. Yosys 0.2.0
504 --------------------------
505
506 * Changes to the driver program:
507 - Added "yosys -h" and "yosys -H"
508 - Added support for backslash line continuation in scripts
509 - Added support for #-comments in same line as command
510 - Added "echo" and "log" commands
511
512 * Improvements in Verilog frontend:
513 - Added support for local registers in named blocks
514 - Added support for "case" in "generate" blocks
515 - Added support for $clog2 system function
516 - Added support for basic SystemVerilog assert statements
517 - Added preprocessor support for macro arguments
518 - Added preprocessor support for `elsif statement
519 - Added "verilog_defaults" command
520 - Added read_verilog -icells option
521 - Added support for constant sizes from parameters
522 - Added "read_verilog -setattr"
523 - Added support for function returning 'integer'
524 - Added limited support for function calls in parameter values
525 - Added "read_verilog -defer" to suppress evaluation of modules with default parameters
526
527 * Other front- and back-ends:
528 - Added BTOR backend
529 - Added Liberty frontend
530
531 * Improvements in technology mapping:
532 - The "dfflibmap" command now strongly prefers solutions with
533 no inverters in clock paths
534 - The "dfflibmap" command now prefers cells with smaller area
535 - Added support for multiple -map options to techmap
536 - Added "dfflibmap" support for //-comments in liberty files
537 - Added "memory_unpack" command to revert "memory_collect"
538 - Added standard techmap rule "techmap -share_map pmux2mux.v"
539 - Added "iopadmap -bits"
540 - Added "setundef" command
541 - Added "hilomap" command
542
543 * Changes in the internal cell library:
544 - Major rewrite of simlib.v for better compatibility with other tools
545 - Added PRIORITY parameter to $memwr cells
546 - Added TRANSPARENT parameter to $memrd cells
547 - Added RD_TRANSPARENT parameter to $mem cells
548 - Added $bu0 cell (always 0-extend, even undef MSB)
549 - Added $assert cell type
550 - Added $slice and $concat cell types
551
552 * Integration with ABC:
553 - Updated ABC to hg rev 2058c8ccea68
554 - Tighter integration of ABC build with Yosys build. The make
555 targets 'make abc' and 'make install-abc' are now obsolete.
556 - Added support for passing FFs from one clock domain through ABC
557 - Now always use BLIF as exchange format with ABC
558 - Added support for "abc -script +<command_sequence>"
559 - Improved standard ABC recipe
560 - Added support for "keep" attribute to abc command
561 - Added "abc -dff / -clk / -keepff" options
562
563 * Improvements to "eval" and "sat" framework:
564 - Added support for "0" and "~0" in right-hand side -set expressions
565 - Added "eval -set-undef" and "eval -table"
566 - Added "sat -set-init" and "sat -set-init-*" for sequential problems
567 - Added undef support to SAT solver, incl. various new "sat" options
568 - Added correct support for === and !== for "eval" and "sat"
569 - Added "sat -tempinduct" (default -seq is now non-induction sequential)
570 - Added "sat -prove-asserts"
571 - Complete rewrite of the 'freduce' command
572 - Added "miter" command
573 - Added "sat -show-inputs" and "sat -show-outputs"
574 - Added "sat -ignore_unknown_cells" (now produce an error by default)
575 - Added "sat -falsify"
576 - Now "sat -verify" and "sat -falsify" can also be used without "-prove"
577 - Added "expose" command
578 - Added support for @<sel_name> to sat and eval signal expressions
579
580 * Changes in the 'make test' framework and auxiliary test tools:
581 - Added autotest.sh -p and -f options
582 - Replaced autotest.sh ISIM support with XSIM support
583 - Added test cases for SAT framework
584
585 * Added "abbreviated IDs":
586 - Now $<something>$foo can be abbreviated as $foo.
587 - Usually this last part is a unique id (from RTLIL::autoidx)
588 - This abbreviated IDs are now also used in "show" output
589
590 * Other changes to selection framework:
591 - Now */ is optional in */<mode>:<arg> expressions
592 - Added "select -assert-none" and "select -assert-any"
593 - Added support for matching modules by attribute (A:<expr>)
594 - Added "select -none"
595 - Added support for r:<expr> pattern for matching cell parameters
596 - Added support for !=, <, <=, >=, > for attribute and parameter matching
597 - Added support for %s for selecting sub-modules
598 - Added support for %m for expanding selections to whole modules
599 - Added support for i:*, o:* and x:* pattern for selecting module ports
600 - Added support for s:<expr> pattern for matching wire width
601 - Added support for %a operation to select wire aliases
602
603 * Various other changes to commands and options:
604 - The "ls" command now supports wildcards
605 - Added "show -pause" and "show -format dot"
606 - Added "show -color" support for cells
607 - Added "show -label" and "show -notitle"
608 - Added "dump -m" and "dump -n"
609 - Added "history" command
610 - Added "rename -hide"
611 - Added "connect" command
612 - Added "splitnets -driver"
613 - Added "opt_const -mux_undef"
614 - Added "opt_const -mux_bool"
615 - Added "opt_const -undriven"
616 - Added "opt -mux_undef -mux_bool -undriven -purge"
617 - Added "hierarchy -libdir"
618 - Added "hierarchy -purge_lib" (by default now do not remove lib cells)
619 - Added "delete" command
620 - Added "dump -append"
621 - Added "setattr" and "setparam" commands
622 - Added "design -stash/-copy-from/-copy-to"
623 - Added "copy" command
624 - Added "splice" command
625