6f476a2cb82c2a1fa3dc8966fcb933ff750a837f
[yosys.git] / CHANGELOG
1
2 List of major changes and improvements between releases
3 =======================================================
4
5
6 Yosys 0.8 .. Yosys 0.8-dev
7 --------------------------
8
9 * Various
10 - Added $changed support to read_verilog
11 - Added "write_edif -attrprop"
12 - Added "ice40_unlut" pass
13 - Added "opt_lut" pass
14 - Added "synth_ice40 -relut"
15 - Added "synth_ice40 -noabc"
16 - Added "gate2lut.v" techmap rule
17 - Added "rename -src"
18 - Added "equiv_opt" pass
19 - Added "shregmap -tech xilinx"
20 - Added "read_aiger" frontend
21 - Added "muxcover -mux{4,8,16}=<cost>"
22 - Added "muxcover -dmux=<cost>"
23 - Added "muxcover -nopartial"
24 - Added "muxpack" pass
25 - Added "pmux2shiftx -norange"
26 - "synth_xilinx" to now infer hard shift registers, using new "shregmap -tech xilinx"
27 - Fixed sign extension of unsized constants with 'bx and 'bz MSB
28
29
30 Yosys 0.7 .. Yosys 0.8
31 ----------------------
32
33 * Various
34 - Many bugfixes and small improvements
35 - Strip debug symbols from installed binary
36 - Replace -ignore_redef with -[no]overwrite in front-ends
37 - Added write_verilog hex dump support, add -nohex option
38 - Added "write_verilog -decimal"
39 - Added "scc -set_attr"
40 - Added "verilog_defines" command
41 - Remember defines from one read_verilog to next
42 - Added support for hierarchical defparam
43 - Added FIRRTL back-end
44 - Improved ABC default scripts
45 - Added "design -reset-vlog"
46 - Added "yosys -W regex", "yosys -w regex", and "yosys -e regex"
47 - Added Verilog $rtoi and $itor support
48 - Added "check -initdrv"
49 - Added "read_blif -wideports"
50 - Added support for SystemVerilog "++" and "--" operators
51 - Added support for SystemVerilog unique, unique0, and priority case
52 - Added "write_edif" options for edif "flavors"
53 - Added support for resetall compiler directive
54 - Added simple C beck-end (bitwise combinatorical only atm)
55 - Added $_ANDNOT_ and $_ORNOT_ cell types
56 - Added cell library aliases to "abc -g"
57 - Added "setundef -anyseq"
58 - Added "chtype" command
59 - Added "design -import"
60 - Added "write_table" command
61 - Added "read_json" command
62 - Added "sim" command
63 - Added "extract_fa" and "extract_reduce" commands
64 - Added "extract_counter" command
65 - Added "opt_demorgan" command
66 - Added support for $size and $bits SystemVerilog functions
67 - Added "blackbox" command
68 - Added "ltp" command
69 - Added support for editline as replacement for readline
70 - Added warnings for driver-driver conflicts between FFs (and other cells) and constants
71 - Added "yosys -E" for creating Makefile dependencies files
72 - Added "synth -noshare"
73 - Added "memory_nordff"
74 - Added "setundef -undef -expose -anyconst"
75 - Added "expose -input"
76 - Added specify/specparam parser support (simply ignore them)
77 - Added "write_blif -inames -iattr"
78 - Added "hierarchy -simcheck"
79 - Added an option to statically link abc into yosys
80 - Added protobuf back-end
81 - Added BLIF parsing support for .conn and .cname
82 - Added read_verilog error checking for reg/wire/logic misuse
83 - Added "make coverage" and ENABLE_GCOV build option
84
85 * Changes in Yosys APIs
86 - Added ConstEval defaultval feature
87 - Added {get,set}_src_attribute() methods on RTLIL::AttrObject
88 - Added SigSpec::is_fully_ones() and Const::is_fully_ones()
89 - Added log_file_warning() and log_file_error() functions
90
91 * Formal Verification
92 - Added "write_aiger"
93 - Added "yosys-smtbmc --aig"
94 - Added "always <positive_int>" to .smtc format
95 - Added $cover cell type and support for cover properties
96 - Added $fair/$live cell type and support for liveness properties
97 - Added smtbmc support for memory vcd dumping
98 - Added "chformal" command
99 - Added "write_smt2 -stbv" and "write_smt2 -stdt"
100 - Fix equiv_simple, old behavior now available with "equiv_simple -short"
101 - Change to Yices2 as default SMT solver (it is GPL now)
102 - Added "yosys-smtbmc --presat" (now default in SymbiYosys)
103 - Added "yosys-smtbmc --smtc-init --smtc-top --noinit"
104 - Added a brand new "write_btor" command for BTOR2
105 - Added clk2fflogic memory support and other improvements
106 - Added "async memory write" support to write_smt2
107 - Simulate clock toggling in yosys-smtbmc VCD output
108 - Added $allseq/$allconst cells for EA-solving
109 - Make -nordff the default in "prep"
110 - Added (* gclk *) attribute
111 - Added "async2sync" pass for single-clock designs with async resets
112
113 * Verific support
114 - Many improvements in Verific front-end
115 - Added proper handling of concurent SVA properties
116 - Map "const" and "rand const" to $anyseq/$anyconst
117 - Added "verific -import -flatten" and "verific -import -extnets"
118 - Added "verific -vlog-incdir -vlog-define -vlog-libdir"
119 - Remove PSL support (because PSL has been removed in upstream Verific)
120 - Improve integration with "hierarchy" command design elaboration
121 - Added YOSYS_NOVERIFIC for running non-verific test cases with verific bin
122 - Added simpilied "read" command that automatically uses verific if available
123 - Added "verific -set-<severity> <msg_id>.."
124 - Added "verific -work <libname>"
125
126 * New back-ends
127 - Added initial Coolrunner-II support
128 - Added initial eASIC support
129 - Added initial ECP5 support
130
131 * GreenPAK Support
132 - Added support for GP_DLATCH, GP_SPI, GP_DCMx, GP_COUNTx, etc.
133
134 * iCE40 Support
135 - Add "synth_ice40 -vpr"
136 - Add "synth_ice40 -nodffe"
137 - Add "synth_ice40 -json"
138 - Add Support for UltraPlus cells
139
140 * MAX10 and Cyclone IV Support
141 - Added initial version of metacommand "synth_intel".
142 - Improved write_verilog command to produce VQM netlist for Quartus Prime.
143 - Added support for MAX10 FPGA family synthesis.
144 - Added support for Cyclone IV family synthesis.
145 - Added example of implementation for DE2i-150 board.
146 - Added example of implementation for MAX10 development kit.
147 - Added LFSR example from Asic World.
148 - Added "dffinit -highlow" for mapping to Intel primitives
149
150
151 Yosys 0.6 .. Yosys 0.7
152 ----------------------
153
154 * Various
155 - Added "yosys -D" feature
156 - Added support for installed plugins in $(DATDIR)/plugins/
157 - Renamed opt_const to opt_expr
158 - Renamed opt_share to opt_merge
159 - Added "prep -flatten" and "synth -flatten"
160 - Added "prep -auto-top" and "synth -auto-top"
161 - Using "mfs" and "lutpack" in ABC lut mapping
162 - Support for abstract modules in chparam
163 - Cleanup abstract modules at end of "hierarchy -top"
164 - Added tristate buffer support to iopadmap
165 - Added opt_expr support for div/mod by power-of-two
166 - Added "select -assert-min <N> -assert-max <N>"
167 - Added "attrmvcp" pass
168 - Added "attrmap" command
169 - Added "tee +INT -INT"
170 - Added "zinit" pass
171 - Added "setparam -type"
172 - Added "shregmap" pass
173 - Added "setundef -init"
174 - Added "nlutmap -assert"
175 - Added $sop cell type and "abc -sop -I <num> -P <num>"
176 - Added "dc2" to default ABC scripts
177 - Added "deminout"
178 - Added "insbuf" command
179 - Added "prep -nomem"
180 - Added "opt_rmdff -keepdc"
181 - Added "prep -nokeepdc"
182 - Added initial version of "synth_gowin"
183 - Added "fsm_expand -full"
184 - Added support for fsm_encoding="user"
185 - Many improvements in GreenPAK4 support
186 - Added black box modules for all Xilinx 7-series lib cells
187 - Added synth_ice40 support for latches via logic loops
188 - Fixed ice40_opt lut unmapping, added "ice40_opt -unlut"
189
190 * Build System
191 - Added ABCEXTERNAL and ABCURL make variables
192 - Added BINDIR, LIBDIR, and DATDIR make variables
193 - Added PKG_CONFIG make variable
194 - Added SEED make variable (for "make test")
195 - Added YOSYS_VER_STR make variable
196 - Updated min GCC requirement to GCC 4.8
197 - Updated required Bison version to Bison 3.x
198
199 * Internal APIs
200 - Added ast.h to exported headers
201 - Added ScriptPass helper class for script-like passes
202 - Added CellEdgesDatabase API
203
204 * Front-ends and Back-ends
205 - Added filename glob support to all front-ends
206 - Added avail (black-box) module params to ilang format
207 - Added $display %m support
208 - Added support for $stop Verilog system task
209 - Added support for SystemVerilog packages
210 - Fixed procedural assignments to non-unique lvalues, e.g. {y,y} = {a,b}
211 - Added support for "active high" and "active low" latches in read_blif and write_blif
212 - Use init value "2" for all uninitialized FFs in BLIF back-end
213 - Added "read_blif -sop"
214 - Added "write_blif -noalias"
215 - Added various write_blif options for VTR support
216 - write_json: also write module attributes.
217 - Added "write_verilog -nodec -nostr -defparam"
218 - Added "read_verilog -norestrict -assume-asserts"
219 - Added support for bus interfaces to "read_liberty -lib"
220 - Added liberty parser support for types within cell decls
221 - Added "write_verilog -renameprefix -v"
222 - Added "write_edif -nogndvcc"
223
224 * Formal Verification
225 - Support for hierarchical designs in smt2 back-end
226 - Yosys-smtbmc: Support for hierarchical VCD dumping
227 - Added $initstate cell type and vlog function
228 - Added $anyconst and $anyseq cell types and vlog functions
229 - Added printing of code loc of failed asserts to yosys-smtbmc
230 - Added memory_memx pass, "memory -memx", and "prep -memx"
231 - Added "proc_mux -ifx"
232 - Added "yosys-smtbmc -g"
233 - Deprecated "write_smt2 -regs" (by default on now)
234 - Made "write_smt2 -bv -mem" default, added "write_smt2 -nobv -nomem"
235 - Added support for memories to smtio.py
236 - Added "yosys-smtbmc --dump-vlogtb"
237 - Added "yosys-smtbmc --smtc --dump-smtc"
238 - Added "yosys-smtbmc --dump-all"
239 - Added assertpmux command
240 - Added "yosys-smtbmc --unroll"
241 - Added $past, $stable, $rose, $fell SVA functions
242 - Added "yosys-smtbmc --noinfo and --dummy"
243 - Added "yosys-smtbmc --noincr"
244 - Added "yosys-smtbmc --cex <filename>"
245 - Added $ff and $_FF_ cell types
246 - Added $global_clock verilog syntax support for creating $ff cells
247 - Added clk2fflogic
248
249
250 Yosys 0.5 .. Yosys 0.6
251 ----------------------
252
253 * Various
254 - Added Contributor Covenant Code of Conduct
255 - Various improvements in dict<> and pool<>
256 - Added hashlib::mfp and refactored SigMap
257 - Improved support for reals as module parameters
258 - Various improvements in SMT2 back-end
259 - Added "keep_hierarchy" attribute
260 - Verilog front-end: define `BLACKBOX in -lib mode
261 - Added API for converting internal cells to AIGs
262 - Added ENABLE_LIBYOSYS Makefile option
263 - Removed "techmap -share_map" (use "-map +/filename" instead)
264 - Switched all Python scripts to Python 3
265 - Added support for $display()/$write() and $finish() to Verilog front-end
266 - Added "yosys-smtbmc" formal verification flow
267 - Added options for clang sanitizers to Makefile
268
269 * New commands and options
270 - Added "scc -expect <N> -nofeedback"
271 - Added "proc_dlatch"
272 - Added "check"
273 - Added "select %xe %cie %coe %M %C %R"
274 - Added "sat -dump_json" (WaveJSON format)
275 - Added "sat -tempinduct-baseonly -tempinduct-inductonly"
276 - Added "sat -stepsize" and "sat -tempinduct-step"
277 - Added "sat -show-regs -show-public -show-all"
278 - Added "write_json" (Native Yosys JSON format)
279 - Added "write_blif -attr"
280 - Added "dffinit"
281 - Added "chparam"
282 - Added "muxcover"
283 - Added "pmuxtree"
284 - Added memory_bram "make_outreg" feature
285 - Added "splice -wires"
286 - Added "dff2dffe -direct-match"
287 - Added simplemap $lut support
288 - Added "read_blif"
289 - Added "opt_share -share_all"
290 - Added "aigmap"
291 - Added "write_smt2 -mem -regs -wires"
292 - Added "memory -nordff"
293 - Added "write_smv"
294 - Added "synth -nordff -noalumacc"
295 - Added "rename -top new_name"
296 - Added "opt_const -clkinv"
297 - Added "synth -nofsm"
298 - Added "miter -assert"
299 - Added "read_verilog -noautowire"
300 - Added "read_verilog -nodpi"
301 - Added "tribuf"
302 - Added "lut2mux"
303 - Added "nlutmap"
304 - Added "qwp"
305 - Added "test_cell -noeval"
306 - Added "edgetypes"
307 - Added "equiv_struct"
308 - Added "equiv_purge"
309 - Added "equiv_mark"
310 - Added "equiv_add -try -cell"
311 - Added "singleton"
312 - Added "abc -g -luts"
313 - Added "torder"
314 - Added "write_blif -cname"
315 - Added "submod -copy"
316 - Added "dffsr2dff"
317 - Added "stat -liberty"
318
319 * Synthesis metacommands
320 - Various improvements in synth_xilinx
321 - Added synth_ice40 and synth_greenpak4
322 - Added "prep" metacommand for "synthesis lite"
323
324 * Cell library changes
325 - Added cell types to "help" system
326 - Added $meminit cell type
327 - Added $assume cell type
328 - Added $_MUX4_, $_MUX8_, and $_MUX16_ cells
329 - Added $tribuf and $_TBUF_ cell types
330 - Added read-enable to memory model
331
332 * YosysJS
333 - Various improvements in emscripten build
334 - Added alternative webworker-based JS API
335 - Added a few example applications
336
337
338 Yosys 0.4 .. Yosys 0.5
339 ----------------------
340
341 * API changes
342 - Added log_warning()
343 - Added eval_select_args() and eval_select_op()
344 - Added cell->known(), cell->input(portname), cell->output(portname)
345 - Skip blackbox modules in design->selected_modules()
346 - Replaced std::map<> and std::set<> with dict<> and pool<>
347 - New SigSpec::extend() is what used to be SigSpec::extend_u0()
348 - Added YS_OVERRIDE, YS_FINAL, YS_ATTRIBUTE, YS_NORETURN
349
350 * Cell library changes
351 - Added flip-flops with enable ($dffe etc.)
352 - Added $equiv cells for equivalence checking framework
353
354 * Various
355 - Updated ABC to hg rev 61ad5f908c03
356 - Added clock domain partitioning to ABC pass
357 - Improved plugin building (see "yosys-config --build")
358 - Added ENABLE_NDEBUG Makefile flag for high-performance builds
359 - Added "yosys -d", "yosys -L" and other driver improvements
360 - Added support for multi-bit (array) cell ports to "write_edif"
361 - Now printing most output to stdout, not stderr
362 - Added "onehot" attribute (set by "fsm_map")
363 - Various performance improvements
364 - Vastly improved Xilinx flow
365 - Added "make unsintall"
366
367 * Equivalence checking
368 - Added equivalence checking commands:
369 equiv_make equiv_simple equiv_status
370 equiv_induct equiv_miter
371 equiv_add equiv_remove
372
373 * Block RAM support:
374 - Added "memory_bram" command
375 - Added BRAM support to Xilinx flow
376
377 * Other New Commands and Options
378 - Added "dff2dffe"
379 - Added "fsm -encfile"
380 - Added "dfflibmap -prepare"
381 - Added "write_blid -unbuf -undef -blackbox"
382 - Added "write_smt2" for writing SMT-LIBv2 files
383 - Added "test_cell -w -muxdiv"
384 - Added "select -read"
385
386
387 Yosys 0.3.0 .. Yosys 0.4
388 ------------------------
389
390 * Platform Support
391 - Added support for mxe-based cross-builds for win32
392 - Added sourcecode-export as VisualStudio project
393 - Added experimental EMCC (JavaScript) support
394
395 * Verilog Frontend
396 - Added -sv option for SystemVerilog (and automatic *.sv file support)
397 - Added support for real-valued constants and constant expressions
398 - Added support for non-standard "via_celltype" attribute on task/func
399 - Added support for non-standard "module mod_name(...);" syntax
400 - Added support for non-standard """ macro bodies
401 - Added support for array with more than one dimension
402 - Added support for $readmemh and $readmemb
403 - Added support for DPI functions
404
405 * Changes in internal cell library
406 - Added $shift and $shiftx cell types
407 - Added $alu, $lcu, $fa and $macc cell types
408 - Removed $bu0 and $safe_pmux cell types
409 - $mem/$memwr WR_EN input is now a per-data-bit enable signal
410 - Added $_NAND_ $_NOR_ $_XNOR_ $_AOI3_ $_OAI3_ $_AOI4_ $_OAI4_
411 - Renamed ports of $lut cells (from I->O to A->Y)
412 - Renamed $_INV_ to $_NOT_
413
414 * Changes for simple synthesis flows
415 - There is now a "synth" command with a recommended default script
416 - Many improvements in synthesis of arithmetic functions to gates
417 - Multipliers and adders with many operands are using carry-save adder trees
418 - Remaining adders are now implemented using Brent-Kung carry look-ahead adders
419 - Various new high-level optimizations on RTL netlist
420 - Various improvements in FSM optimization
421 - Updated ABC to hg 5b5af75f1dda (from 2014-11-07)
422
423 * Changes in internal APIs and RTLIL
424 - Added log_id() and log_cell() helper functions
425 - Added function-like cell creation helpers
426 - Added GetSize() function (like .size() but with int)
427 - Major refactoring of RTLIL::Module and related classes
428 - Major refactoring of RTLIL::SigSpec and related classes
429 - Now RTLIL::IdString is essentially an int
430 - Added macros for code coverage counters
431 - Added some Makefile magic for pretty make logs
432 - Added "kernel/yosys.h" with all the core definitions
433 - Changed a lot of code from FILE* to c++ streams
434 - Added RTLIL::Monitor API and "trace" command
435 - Added "Yosys" C++ namespace
436
437 * Changes relevant to SAT solving
438 - Added ezSAT::keep_cnf() and ezSAT::non_incremental()
439 - Added native ezSAT support for vector shift ops
440 - Updated MiniSAT to git 37dc6c67e2 (from 2013-09-25)
441
442 * New commands (or large improvements to commands)
443 - Added "synth" command with default script
444 - Added "share" (finally some real resource sharing)
445 - Added "memory_share" (reduce number of ports on memories)
446 - Added "wreduce" and "alumacc" commands
447 - Added "opt -keepdc -fine -full -fast"
448 - Added some "test_*" commands
449
450 * Various other changes
451 - Added %D and %c select operators
452 - Added support for labels in yosys scripts
453 - Added support for here-documents in yosys scripts
454 - Support "+/" prefix for files from proc_share_dir
455 - Added "autoidx" statement to ilang language
456 - Switched from "yosys-svgviewer" to "xdot"
457 - Renamed "stdcells.v" to "techmap.v"
458 - Various bug fixes and small improvements
459 - Improved welcome and bye messages
460
461
462 Yosys 0.2.0 .. Yosys 0.3.0
463 --------------------------
464
465 * Driver program and overall behavior:
466 - Added "design -push" and "design -pop"
467 - Added "tee" command for redirecting log output
468
469 * Changes in the internal cell library:
470 - Added $dlatchsr and $_DLATCHSR_???_ cell types
471
472 * Improvements in Verilog frontend:
473 - Improved support for const functions (case, always, repeat)
474 - The generate..endgenerate keywords are now optional
475 - Added support for arrays of module instances
476 - Added support for "`default_nettype" directive
477 - Added support for "`line" directive
478
479 * Other front- and back-ends:
480 - Various changes to "write_blif" options
481 - Various improvements in EDIF backend
482 - Added "vhdl2verilog" pseudo-front-end
483 - Added "verific" pseudo-front-end
484
485 * Improvements in technology mapping:
486 - Added support for recursive techmap
487 - Added CONSTMSK and CONSTVAL features to techmap
488 - Added _TECHMAP_CONNMAP_*_ feature to techmap
489 - Added _TECHMAP_REPLACE_ feature to techmap
490 - Added "connwrappers" command for wrap-extract-unwrap method
491 - Added "extract -map %<design_name>" feature
492 - Added "extract -ignore_param ..." and "extract -ignore_parameters"
493 - Added "techmap -max_iter" option
494
495 * Improvements to "eval" and "sat" framework:
496 - Now include a copy of Minisat (with build fixes applied)
497 - Switched to Minisat::SimpSolver as SAT back-end
498 - Added "sat -dump_vcd" feature
499 - Added "sat -dump_cnf" feature
500 - Added "sat -initsteps <N>" feature
501 - Added "freduce -stop <N>" feature
502 - Added "freduce -dump <prefix>" feature
503
504 * Integration with ABC:
505 - Updated ABC rev to 7600ffb9340c
506
507 * Improvements in the internal APIs:
508 - Added RTLIL::Module::add... helper methods
509 - Various build fixes for OSX (Darwin) and OpenBSD
510
511
512 Yosys 0.1.0 .. Yosys 0.2.0
513 --------------------------
514
515 * Changes to the driver program:
516 - Added "yosys -h" and "yosys -H"
517 - Added support for backslash line continuation in scripts
518 - Added support for #-comments in same line as command
519 - Added "echo" and "log" commands
520
521 * Improvements in Verilog frontend:
522 - Added support for local registers in named blocks
523 - Added support for "case" in "generate" blocks
524 - Added support for $clog2 system function
525 - Added support for basic SystemVerilog assert statements
526 - Added preprocessor support for macro arguments
527 - Added preprocessor support for `elsif statement
528 - Added "verilog_defaults" command
529 - Added read_verilog -icells option
530 - Added support for constant sizes from parameters
531 - Added "read_verilog -setattr"
532 - Added support for function returning 'integer'
533 - Added limited support for function calls in parameter values
534 - Added "read_verilog -defer" to suppress evaluation of modules with default parameters
535
536 * Other front- and back-ends:
537 - Added BTOR backend
538 - Added Liberty frontend
539
540 * Improvements in technology mapping:
541 - The "dfflibmap" command now strongly prefers solutions with
542 no inverters in clock paths
543 - The "dfflibmap" command now prefers cells with smaller area
544 - Added support for multiple -map options to techmap
545 - Added "dfflibmap" support for //-comments in liberty files
546 - Added "memory_unpack" command to revert "memory_collect"
547 - Added standard techmap rule "techmap -share_map pmux2mux.v"
548 - Added "iopadmap -bits"
549 - Added "setundef" command
550 - Added "hilomap" command
551
552 * Changes in the internal cell library:
553 - Major rewrite of simlib.v for better compatibility with other tools
554 - Added PRIORITY parameter to $memwr cells
555 - Added TRANSPARENT parameter to $memrd cells
556 - Added RD_TRANSPARENT parameter to $mem cells
557 - Added $bu0 cell (always 0-extend, even undef MSB)
558 - Added $assert cell type
559 - Added $slice and $concat cell types
560
561 * Integration with ABC:
562 - Updated ABC to hg rev 2058c8ccea68
563 - Tighter integration of ABC build with Yosys build. The make
564 targets 'make abc' and 'make install-abc' are now obsolete.
565 - Added support for passing FFs from one clock domain through ABC
566 - Now always use BLIF as exchange format with ABC
567 - Added support for "abc -script +<command_sequence>"
568 - Improved standard ABC recipe
569 - Added support for "keep" attribute to abc command
570 - Added "abc -dff / -clk / -keepff" options
571
572 * Improvements to "eval" and "sat" framework:
573 - Added support for "0" and "~0" in right-hand side -set expressions
574 - Added "eval -set-undef" and "eval -table"
575 - Added "sat -set-init" and "sat -set-init-*" for sequential problems
576 - Added undef support to SAT solver, incl. various new "sat" options
577 - Added correct support for === and !== for "eval" and "sat"
578 - Added "sat -tempinduct" (default -seq is now non-induction sequential)
579 - Added "sat -prove-asserts"
580 - Complete rewrite of the 'freduce' command
581 - Added "miter" command
582 - Added "sat -show-inputs" and "sat -show-outputs"
583 - Added "sat -ignore_unknown_cells" (now produce an error by default)
584 - Added "sat -falsify"
585 - Now "sat -verify" and "sat -falsify" can also be used without "-prove"
586 - Added "expose" command
587 - Added support for @<sel_name> to sat and eval signal expressions
588
589 * Changes in the 'make test' framework and auxiliary test tools:
590 - Added autotest.sh -p and -f options
591 - Replaced autotest.sh ISIM support with XSIM support
592 - Added test cases for SAT framework
593
594 * Added "abbreviated IDs":
595 - Now $<something>$foo can be abbreviated as $foo.
596 - Usually this last part is a unique id (from RTLIL::autoidx)
597 - This abbreviated IDs are now also used in "show" output
598
599 * Other changes to selection framework:
600 - Now */ is optional in */<mode>:<arg> expressions
601 - Added "select -assert-none" and "select -assert-any"
602 - Added support for matching modules by attribute (A:<expr>)
603 - Added "select -none"
604 - Added support for r:<expr> pattern for matching cell parameters
605 - Added support for !=, <, <=, >=, > for attribute and parameter matching
606 - Added support for %s for selecting sub-modules
607 - Added support for %m for expanding selections to whole modules
608 - Added support for i:*, o:* and x:* pattern for selecting module ports
609 - Added support for s:<expr> pattern for matching wire width
610 - Added support for %a operation to select wire aliases
611
612 * Various other changes to commands and options:
613 - The "ls" command now supports wildcards
614 - Added "show -pause" and "show -format dot"
615 - Added "show -color" support for cells
616 - Added "show -label" and "show -notitle"
617 - Added "dump -m" and "dump -n"
618 - Added "history" command
619 - Added "rename -hide"
620 - Added "connect" command
621 - Added "splitnets -driver"
622 - Added "opt_const -mux_undef"
623 - Added "opt_const -mux_bool"
624 - Added "opt_const -undriven"
625 - Added "opt -mux_undef -mux_bool -undriven -purge"
626 - Added "hierarchy -libdir"
627 - Added "hierarchy -purge_lib" (by default now do not remove lib cells)
628 - Added "delete" command
629 - Added "dump -append"
630 - Added "setattr" and "setparam" commands
631 - Added "design -stash/-copy-from/-copy-to"
632 - Added "copy" command
633 - Added "splice" command
634