Merge remote-tracking branch 'origin/master' into xaig
[yosys.git] / CHANGELOG
1
2 List of major changes and improvements between releases
3 =======================================================
4
5
6 Yosys 0.8 .. Yosys 0.8-dev
7 --------------------------
8
9 * Various
10 - Added $changed support to read_verilog
11 - Added "write_edif -attrprop"
12 - Added "ice40_unlut" pass
13 - Added "opt_lut" pass
14 - Added "synth_ice40 -relut"
15 - Added "synth_ice40 -noabc"
16 - Added "gate2lut.v" techmap rule
17 - Added "rename -src"
18 - Added "equiv_opt" pass
19 - Added "shregmap -tech xilinx"
20 - Added "read_aiger" frontend
21 - Added "muxcover -mux{4,8,16}=<cost>"
22 - Added "muxcover -dmux=<cost>"
23 - Added "muxcover -nopartial"
24 - Added "muxpack" pass
25 - Added "write_xaiger" backend
26 - Added "abc9" pass for timing-aware techmapping (experimental, FPGA only, no FFs)
27 - Added "synth_xilinx -abc9" (experimental)
28 - Added "synth_ice40 -abc9" (experimental)
29 - Added "synth -abc9" (experimental)
30 - "synth_xilinx" to now infer hard shift registers (-nosrl to disable)
31 - Fixed sign extension of unsized constants with 'bx and 'bz MSB
32
33
34 Yosys 0.7 .. Yosys 0.8
35 ----------------------
36
37 * Various
38 - Many bugfixes and small improvements
39 - Strip debug symbols from installed binary
40 - Replace -ignore_redef with -[no]overwrite in front-ends
41 - Added write_verilog hex dump support, add -nohex option
42 - Added "write_verilog -decimal"
43 - Added "scc -set_attr"
44 - Added "verilog_defines" command
45 - Remember defines from one read_verilog to next
46 - Added support for hierarchical defparam
47 - Added FIRRTL back-end
48 - Improved ABC default scripts
49 - Added "design -reset-vlog"
50 - Added "yosys -W regex", "yosys -w regex", and "yosys -e regex"
51 - Added Verilog $rtoi and $itor support
52 - Added "check -initdrv"
53 - Added "read_blif -wideports"
54 - Added support for systemVerilog "++" and "--" operators
55 - Added support for SystemVerilog unique, unique0, and priority case
56 - Added "write_edif" options for edif "flavors"
57 - Added support for resetall compiler directive
58 - Added simple C beck-end (bitwise combinatorical only atm)
59 - Added $_ANDNOT_ and $_ORNOT_ cell types
60 - Added cell library aliases to "abc -g"
61 - Added "setundef -anyseq"
62 - Added "chtype" command
63 - Added "design -import"
64 - Added "write_table" command
65 - Added "read_json" command
66 - Added "sim" command
67 - Added "extract_fa" and "extract_reduce" commands
68 - Added "extract_counter" command
69 - Added "opt_demorgan" command
70 - Added support for $size and $bits SystemVerilog functions
71 - Added "blackbox" command
72 - Added "ltp" command
73 - Added support for editline as replacement for readline
74 - Added warnings for driver-driver conflicts between FFs (and other cells) and constants
75 - Added "yosys -E" for creating Makefile dependencies files
76 - Added "synth -noshare"
77 - Added "memory_nordff"
78 - Added "setundef -undef -expose -anyconst"
79 - Added "expose -input"
80 - Added specify/specparam parser support (simply ignore them)
81 - Added "write_blif -inames -iattr"
82 - Added "hierarchy -simcheck"
83 - Added an option to statically link abc into yosys
84 - Added protobuf back-end
85 - Added BLIF parsing support for .conn and .cname
86 - Added read_verilog error checking for reg/wire/logic misuse
87 - Added "make coverage" and ENABLE_GCOV build option
88
89 * Changes in Yosys APIs
90 - Added ConstEval defaultval feature
91 - Added {get,set}_src_attribute() methods on RTLIL::AttrObject
92 - Added SigSpec::is_fully_ones() and Const::is_fully_ones()
93 - Added log_file_warning() and log_file_error() functions
94
95 * Formal Verification
96 - Added "write_aiger"
97 - Added "yosys-smtbmc --aig"
98 - Added "always <positive_int>" to .smtc format
99 - Added $cover cell type and support for cover properties
100 - Added $fair/$live cell type and support for liveness properties
101 - Added smtbmc support for memory vcd dumping
102 - Added "chformal" command
103 - Added "write_smt2 -stbv" and "write_smt2 -stdt"
104 - Fix equiv_simple, old behavior now available with "equiv_simple -short"
105 - Change to Yices2 as default SMT solver (it is GPL now)
106 - Added "yosys-smtbmc --presat" (now default in SymbiYosys)
107 - Added "yosys-smtbmc --smtc-init --smtc-top --noinit"
108 - Added a brand new "write_btor" command for BTOR2
109 - Added clk2fflogic memory support and other improvements
110 - Added "async memory write" support to write_smt2
111 - Simulate clock toggling in yosys-smtbmc VCD output
112 - Added $allseq/$allconst cells for EA-solving
113 - Make -nordff the default in "prep"
114 - Added (* gclk *) attribute
115 - Added "async2sync" pass for single-clock designs with async resets
116
117 * Verific support
118 - Many improvements in Verific front-end
119 - Added proper handling of concurent SVA properties
120 - Map "const" and "rand const" to $anyseq/$anyconst
121 - Added "verific -import -flatten" and "verific -import -extnets"
122 - Added "verific -vlog-incdir -vlog-define -vlog-libdir"
123 - Remove PSL support (because PSL has been removed in upstream Verific)
124 - Improve integration with "hierarchy" command design elaboration
125 - Added YOSYS_NOVERIFIC for running non-verific test cases with verific bin
126 - Added simpilied "read" command that automatically uses verific if available
127 - Added "verific -set-<severity> <msg_id>.."
128 - Added "verific -work <libname>"
129
130 * New back-ends
131 - Added initial Coolrunner-II support
132 - Added initial eASIC support
133 - Added initial ECP5 support
134
135 * GreenPAK Support
136 - Added support for GP_DLATCH, GP_SPI, GP_DCMx, GP_COUNTx, etc.
137
138 * iCE40 Support
139 - Add "synth_ice40 -vpr"
140 - Add "synth_ice40 -nodffe"
141 - Add "synth_ice40 -json"
142 - Add Support for UltraPlus cells
143
144 * MAX10 and Cyclone IV Support
145 - Added initial version of metacommand "synth_intel".
146 - Improved write_verilog command to produce VQM netlist for Quartus Prime.
147 - Added support for MAX10 FPGA family synthesis.
148 - Added support for Cyclone IV family synthesis.
149 - Added example of implementation for DE2i-150 board.
150 - Added example of implementation for MAX10 development kit.
151 - Added LFSR example from Asic World.
152 - Added "dffinit -highlow" for mapping to Intel primitives
153
154
155 Yosys 0.6 .. Yosys 0.7
156 ----------------------
157
158 * Various
159 - Added "yosys -D" feature
160 - Added support for installed plugins in $(DATDIR)/plugins/
161 - Renamed opt_const to opt_expr
162 - Renamed opt_share to opt_merge
163 - Added "prep -flatten" and "synth -flatten"
164 - Added "prep -auto-top" and "synth -auto-top"
165 - Using "mfs" and "lutpack" in ABC lut mapping
166 - Support for abstract modules in chparam
167 - Cleanup abstract modules at end of "hierarchy -top"
168 - Added tristate buffer support to iopadmap
169 - Added opt_expr support for div/mod by power-of-two
170 - Added "select -assert-min <N> -assert-max <N>"
171 - Added "attrmvcp" pass
172 - Added "attrmap" command
173 - Added "tee +INT -INT"
174 - Added "zinit" pass
175 - Added "setparam -type"
176 - Added "shregmap" pass
177 - Added "setundef -init"
178 - Added "nlutmap -assert"
179 - Added $sop cell type and "abc -sop -I <num> -P <num>"
180 - Added "dc2" to default ABC scripts
181 - Added "deminout"
182 - Added "insbuf" command
183 - Added "prep -nomem"
184 - Added "opt_rmdff -keepdc"
185 - Added "prep -nokeepdc"
186 - Added initial version of "synth_gowin"
187 - Added "fsm_expand -full"
188 - Added support for fsm_encoding="user"
189 - Many improvements in GreenPAK4 support
190 - Added black box modules for all Xilinx 7-series lib cells
191 - Added synth_ice40 support for latches via logic loops
192 - Fixed ice40_opt lut unmapping, added "ice40_opt -unlut"
193
194 * Build System
195 - Added ABCEXTERNAL and ABCURL make variables
196 - Added BINDIR, LIBDIR, and DATDIR make variables
197 - Added PKG_CONFIG make variable
198 - Added SEED make variable (for "make test")
199 - Added YOSYS_VER_STR make variable
200 - Updated min GCC requirement to GCC 4.8
201 - Updated required Bison version to Bison 3.x
202
203 * Internal APIs
204 - Added ast.h to exported headers
205 - Added ScriptPass helper class for script-like passes
206 - Added CellEdgesDatabase API
207
208 * Front-ends and Back-ends
209 - Added filename glob support to all front-ends
210 - Added avail (black-box) module params to ilang format
211 - Added $display %m support
212 - Added support for $stop Verilog system task
213 - Added support for SystemVerilog packages
214 - Fixed procedural assignments to non-unique lvalues, e.g. {y,y} = {a,b}
215 - Added support for "active high" and "active low" latches in read_blif and write_blif
216 - Use init value "2" for all uninitialized FFs in BLIF back-end
217 - Added "read_blif -sop"
218 - Added "write_blif -noalias"
219 - Added various write_blif options for VTR support
220 - write_json: also write module attributes.
221 - Added "write_verilog -nodec -nostr -defparam"
222 - Added "read_verilog -norestrict -assume-asserts"
223 - Added support for bus interfaces to "read_liberty -lib"
224 - Added liberty parser support for types within cell decls
225 - Added "write_verilog -renameprefix -v"
226 - Added "write_edif -nogndvcc"
227
228 * Formal Verification
229 - Support for hierarchical designs in smt2 back-end
230 - Yosys-smtbmc: Support for hierarchical VCD dumping
231 - Added $initstate cell type and vlog function
232 - Added $anyconst and $anyseq cell types and vlog functions
233 - Added printing of code loc of failed asserts to yosys-smtbmc
234 - Added memory_memx pass, "memory -memx", and "prep -memx"
235 - Added "proc_mux -ifx"
236 - Added "yosys-smtbmc -g"
237 - Deprecated "write_smt2 -regs" (by default on now)
238 - Made "write_smt2 -bv -mem" default, added "write_smt2 -nobv -nomem"
239 - Added support for memories to smtio.py
240 - Added "yosys-smtbmc --dump-vlogtb"
241 - Added "yosys-smtbmc --smtc --dump-smtc"
242 - Added "yosys-smtbmc --dump-all"
243 - Added assertpmux command
244 - Added "yosys-smtbmc --unroll"
245 - Added $past, $stable, $rose, $fell SVA functions
246 - Added "yosys-smtbmc --noinfo and --dummy"
247 - Added "yosys-smtbmc --noincr"
248 - Added "yosys-smtbmc --cex <filename>"
249 - Added $ff and $_FF_ cell types
250 - Added $global_clock verilog syntax support for creating $ff cells
251 - Added clk2fflogic
252
253
254 Yosys 0.5 .. Yosys 0.6
255 ----------------------
256
257 * Various
258 - Added Contributor Covenant Code of Conduct
259 - Various improvements in dict<> and pool<>
260 - Added hashlib::mfp and refactored SigMap
261 - Improved support for reals as module parameters
262 - Various improvements in SMT2 back-end
263 - Added "keep_hierarchy" attribute
264 - Verilog front-end: define `BLACKBOX in -lib mode
265 - Added API for converting internal cells to AIGs
266 - Added ENABLE_LIBYOSYS Makefile option
267 - Removed "techmap -share_map" (use "-map +/filename" instead)
268 - Switched all Python scripts to Python 3
269 - Added support for $display()/$write() and $finish() to Verilog front-end
270 - Added "yosys-smtbmc" formal verification flow
271 - Added options for clang sanitizers to Makefile
272
273 * New commands and options
274 - Added "scc -expect <N> -nofeedback"
275 - Added "proc_dlatch"
276 - Added "check"
277 - Added "select %xe %cie %coe %M %C %R"
278 - Added "sat -dump_json" (WaveJSON format)
279 - Added "sat -tempinduct-baseonly -tempinduct-inductonly"
280 - Added "sat -stepsize" and "sat -tempinduct-step"
281 - Added "sat -show-regs -show-public -show-all"
282 - Added "write_json" (Native Yosys JSON format)
283 - Added "write_blif -attr"
284 - Added "dffinit"
285 - Added "chparam"
286 - Added "muxcover"
287 - Added "pmuxtree"
288 - Added memory_bram "make_outreg" feature
289 - Added "splice -wires"
290 - Added "dff2dffe -direct-match"
291 - Added simplemap $lut support
292 - Added "read_blif"
293 - Added "opt_share -share_all"
294 - Added "aigmap"
295 - Added "write_smt2 -mem -regs -wires"
296 - Added "memory -nordff"
297 - Added "write_smv"
298 - Added "synth -nordff -noalumacc"
299 - Added "rename -top new_name"
300 - Added "opt_const -clkinv"
301 - Added "synth -nofsm"
302 - Added "miter -assert"
303 - Added "read_verilog -noautowire"
304 - Added "read_verilog -nodpi"
305 - Added "tribuf"
306 - Added "lut2mux"
307 - Added "nlutmap"
308 - Added "qwp"
309 - Added "test_cell -noeval"
310 - Added "edgetypes"
311 - Added "equiv_struct"
312 - Added "equiv_purge"
313 - Added "equiv_mark"
314 - Added "equiv_add -try -cell"
315 - Added "singleton"
316 - Added "abc -g -luts"
317 - Added "torder"
318 - Added "write_blif -cname"
319 - Added "submod -copy"
320 - Added "dffsr2dff"
321 - Added "stat -liberty"
322
323 * Synthesis metacommands
324 - Various improvements in synth_xilinx
325 - Added synth_ice40 and synth_greenpak4
326 - Added "prep" metacommand for "synthesis lite"
327
328 * Cell library changes
329 - Added cell types to "help" system
330 - Added $meminit cell type
331 - Added $assume cell type
332 - Added $_MUX4_, $_MUX8_, and $_MUX16_ cells
333 - Added $tribuf and $_TBUF_ cell types
334 - Added read-enable to memory model
335
336 * YosysJS
337 - Various improvements in emscripten build
338 - Added alternative webworker-based JS API
339 - Added a few example applications
340
341
342 Yosys 0.4 .. Yosys 0.5
343 ----------------------
344
345 * API changes
346 - Added log_warning()
347 - Added eval_select_args() and eval_select_op()
348 - Added cell->known(), cell->input(portname), cell->output(portname)
349 - Skip blackbox modules in design->selected_modules()
350 - Replaced std::map<> and std::set<> with dict<> and pool<>
351 - New SigSpec::extend() is what used to be SigSpec::extend_u0()
352 - Added YS_OVERRIDE, YS_FINAL, YS_ATTRIBUTE, YS_NORETURN
353
354 * Cell library changes
355 - Added flip-flops with enable ($dffe etc.)
356 - Added $equiv cells for equivalence checking framework
357
358 * Various
359 - Updated ABC to hg rev 61ad5f908c03
360 - Added clock domain partitioning to ABC pass
361 - Improved plugin building (see "yosys-config --build")
362 - Added ENABLE_NDEBUG Makefile flag for high-performance builds
363 - Added "yosys -d", "yosys -L" and other driver improvements
364 - Added support for multi-bit (array) cell ports to "write_edif"
365 - Now printing most output to stdout, not stderr
366 - Added "onehot" attribute (set by "fsm_map")
367 - Various performance improvements
368 - Vastly improved Xilinx flow
369 - Added "make unsintall"
370
371 * Equivalence checking
372 - Added equivalence checking commands:
373 equiv_make equiv_simple equiv_status
374 equiv_induct equiv_miter
375 equiv_add equiv_remove
376
377 * Block RAM support:
378 - Added "memory_bram" command
379 - Added BRAM support to Xilinx flow
380
381 * Other New Commands and Options
382 - Added "dff2dffe"
383 - Added "fsm -encfile"
384 - Added "dfflibmap -prepare"
385 - Added "write_blid -unbuf -undef -blackbox"
386 - Added "write_smt2" for writing SMT-LIBv2 files
387 - Added "test_cell -w -muxdiv"
388 - Added "select -read"
389
390
391 Yosys 0.3.0 .. Yosys 0.4
392 ------------------------
393
394 * Platform Support
395 - Added support for mxe-based cross-builds for win32
396 - Added sourcecode-export as VisualStudio project
397 - Added experimental EMCC (JavaScript) support
398
399 * Verilog Frontend
400 - Added -sv option for SystemVerilog (and automatic *.sv file support)
401 - Added support for real-valued constants and constant expressions
402 - Added support for non-standard "via_celltype" attribute on task/func
403 - Added support for non-standard "module mod_name(...);" syntax
404 - Added support for non-standard """ macro bodies
405 - Added support for array with more than one dimension
406 - Added support for $readmemh and $readmemb
407 - Added support for DPI functions
408
409 * Changes in internal cell library
410 - Added $shift and $shiftx cell types
411 - Added $alu, $lcu, $fa and $macc cell types
412 - Removed $bu0 and $safe_pmux cell types
413 - $mem/$memwr WR_EN input is now a per-data-bit enable signal
414 - Added $_NAND_ $_NOR_ $_XNOR_ $_AOI3_ $_OAI3_ $_AOI4_ $_OAI4_
415 - Renamed ports of $lut cells (from I->O to A->Y)
416 - Renamed $_INV_ to $_NOT_
417
418 * Changes for simple synthesis flows
419 - There is now a "synth" command with a recommended default script
420 - Many improvements in synthesis of arithmetic functions to gates
421 - Multipliers and adders with many operands are using carry-save adder trees
422 - Remaining adders are now implemented using Brent-Kung carry look-ahead adders
423 - Various new high-level optimizations on RTL netlist
424 - Various improvements in FSM optimization
425 - Updated ABC to hg 5b5af75f1dda (from 2014-11-07)
426
427 * Changes in internal APIs and RTLIL
428 - Added log_id() and log_cell() helper functions
429 - Added function-like cell creation helpers
430 - Added GetSize() function (like .size() but with int)
431 - Major refactoring of RTLIL::Module and related classes
432 - Major refactoring of RTLIL::SigSpec and related classes
433 - Now RTLIL::IdString is essentially an int
434 - Added macros for code coverage counters
435 - Added some Makefile magic for pretty make logs
436 - Added "kernel/yosys.h" with all the core definitions
437 - Changed a lot of code from FILE* to c++ streams
438 - Added RTLIL::Monitor API and "trace" command
439 - Added "Yosys" C++ namespace
440
441 * Changes relevant to SAT solving
442 - Added ezSAT::keep_cnf() and ezSAT::non_incremental()
443 - Added native ezSAT support for vector shift ops
444 - Updated MiniSAT to git 37dc6c67e2 (from 2013-09-25)
445
446 * New commands (or large improvements to commands)
447 - Added "synth" command with default script
448 - Added "share" (finally some real resource sharing)
449 - Added "memory_share" (reduce number of ports on memories)
450 - Added "wreduce" and "alumacc" commands
451 - Added "opt -keepdc -fine -full -fast"
452 - Added some "test_*" commands
453
454 * Various other changes
455 - Added %D and %c select operators
456 - Added support for labels in yosys scripts
457 - Added support for here-documents in yosys scripts
458 - Support "+/" prefix for files from proc_share_dir
459 - Added "autoidx" statement to ilang language
460 - Switched from "yosys-svgviewer" to "xdot"
461 - Renamed "stdcells.v" to "techmap.v"
462 - Various bug fixes and small improvements
463 - Improved welcome and bye messages
464
465
466 Yosys 0.2.0 .. Yosys 0.3.0
467 --------------------------
468
469 * Driver program and overall behavior:
470 - Added "design -push" and "design -pop"
471 - Added "tee" command for redirecting log output
472
473 * Changes in the internal cell library:
474 - Added $dlatchsr and $_DLATCHSR_???_ cell types
475
476 * Improvements in Verilog frontend:
477 - Improved support for const functions (case, always, repeat)
478 - The generate..endgenerate keywords are now optional
479 - Added support for arrays of module instances
480 - Added support for "`default_nettype" directive
481 - Added support for "`line" directive
482
483 * Other front- and back-ends:
484 - Various changes to "write_blif" options
485 - Various improvements in EDIF backend
486 - Added "vhdl2verilog" pseudo-front-end
487 - Added "verific" pseudo-front-end
488
489 * Improvements in technology mapping:
490 - Added support for recursive techmap
491 - Added CONSTMSK and CONSTVAL features to techmap
492 - Added _TECHMAP_CONNMAP_*_ feature to techmap
493 - Added _TECHMAP_REPLACE_ feature to techmap
494 - Added "connwrappers" command for wrap-extract-unwrap method
495 - Added "extract -map %<design_name>" feature
496 - Added "extract -ignore_param ..." and "extract -ignore_parameters"
497 - Added "techmap -max_iter" option
498
499 * Improvements to "eval" and "sat" framework:
500 - Now include a copy of Minisat (with build fixes applied)
501 - Switched to Minisat::SimpSolver as SAT back-end
502 - Added "sat -dump_vcd" feature
503 - Added "sat -dump_cnf" feature
504 - Added "sat -initsteps <N>" feature
505 - Added "freduce -stop <N>" feature
506 - Added "freduce -dump <prefix>" feature
507
508 * Integration with ABC:
509 - Updated ABC rev to 7600ffb9340c
510
511 * Improvements in the internal APIs:
512 - Added RTLIL::Module::add... helper methods
513 - Various build fixes for OSX (Darwin) and OpenBSD
514
515
516 Yosys 0.1.0 .. Yosys 0.2.0
517 --------------------------
518
519 * Changes to the driver program:
520 - Added "yosys -h" and "yosys -H"
521 - Added support for backslash line continuation in scripts
522 - Added support for #-comments in same line as command
523 - Added "echo" and "log" commands
524
525 * Improvements in Verilog frontend:
526 - Added support for local registers in named blocks
527 - Added support for "case" in "generate" blocks
528 - Added support for $clog2 system function
529 - Added support for basic SystemVerilog assert statements
530 - Added preprocessor support for macro arguments
531 - Added preprocessor support for `elsif statement
532 - Added "verilog_defaults" command
533 - Added read_verilog -icells option
534 - Added support for constant sizes from parameters
535 - Added "read_verilog -setattr"
536 - Added support for function returning 'integer'
537 - Added limited support for function calls in parameter values
538 - Added "read_verilog -defer" to suppress evaluation of modules with default parameters
539
540 * Other front- and back-ends:
541 - Added BTOR backend
542 - Added Liberty frontend
543
544 * Improvements in technology mapping:
545 - The "dfflibmap" command now strongly prefers solutions with
546 no inverters in clock paths
547 - The "dfflibmap" command now prefers cells with smaller area
548 - Added support for multiple -map options to techmap
549 - Added "dfflibmap" support for //-comments in liberty files
550 - Added "memory_unpack" command to revert "memory_collect"
551 - Added standard techmap rule "techmap -share_map pmux2mux.v"
552 - Added "iopadmap -bits"
553 - Added "setundef" command
554 - Added "hilomap" command
555
556 * Changes in the internal cell library:
557 - Major rewrite of simlib.v for better compatibility with other tools
558 - Added PRIORITY parameter to $memwr cells
559 - Added TRANSPARENT parameter to $memrd cells
560 - Added RD_TRANSPARENT parameter to $mem cells
561 - Added $bu0 cell (always 0-extend, even undef MSB)
562 - Added $assert cell type
563 - Added $slice and $concat cell types
564
565 * Integration with ABC:
566 - Updated ABC to hg rev 2058c8ccea68
567 - Tighter integration of ABC build with Yosys build. The make
568 targets 'make abc' and 'make install-abc' are now obsolete.
569 - Added support for passing FFs from one clock domain through ABC
570 - Now always use BLIF as exchange format with ABC
571 - Added support for "abc -script +<command_sequence>"
572 - Improved standard ABC recipe
573 - Added support for "keep" attribute to abc command
574 - Added "abc -dff / -clk / -keepff" options
575
576 * Improvements to "eval" and "sat" framework:
577 - Added support for "0" and "~0" in right-hand side -set expressions
578 - Added "eval -set-undef" and "eval -table"
579 - Added "sat -set-init" and "sat -set-init-*" for sequential problems
580 - Added undef support to SAT solver, incl. various new "sat" options
581 - Added correct support for === and !== for "eval" and "sat"
582 - Added "sat -tempinduct" (default -seq is now non-induction sequential)
583 - Added "sat -prove-asserts"
584 - Complete rewrite of the 'freduce' command
585 - Added "miter" command
586 - Added "sat -show-inputs" and "sat -show-outputs"
587 - Added "sat -ignore_unknown_cells" (now produce an error by default)
588 - Added "sat -falsify"
589 - Now "sat -verify" and "sat -falsify" can also be used without "-prove"
590 - Added "expose" command
591 - Added support for @<sel_name> to sat and eval signal expressions
592
593 * Changes in the 'make test' framework and auxiliary test tools:
594 - Added autotest.sh -p and -f options
595 - Replaced autotest.sh ISIM support with XSIM support
596 - Added test cases for SAT framework
597
598 * Added "abbreviated IDs":
599 - Now $<something>$foo can be abbreviated as $foo.
600 - Usually this last part is a unique id (from RTLIL::autoidx)
601 - This abbreviated IDs are now also used in "show" output
602
603 * Other changes to selection framework:
604 - Now */ is optional in */<mode>:<arg> expressions
605 - Added "select -assert-none" and "select -assert-any"
606 - Added support for matching modules by attribute (A:<expr>)
607 - Added "select -none"
608 - Added support for r:<expr> pattern for matching cell parameters
609 - Added support for !=, <, <=, >=, > for attribute and parameter matching
610 - Added support for %s for selecting sub-modules
611 - Added support for %m for expanding selections to whole modules
612 - Added support for i:*, o:* and x:* pattern for selecting module ports
613 - Added support for s:<expr> pattern for matching wire width
614 - Added support for %a operation to select wire aliases
615
616 * Various other changes to commands and options:
617 - The "ls" command now supports wildcards
618 - Added "show -pause" and "show -format dot"
619 - Added "show -color" support for cells
620 - Added "show -label" and "show -notitle"
621 - Added "dump -m" and "dump -n"
622 - Added "history" command
623 - Added "rename -hide"
624 - Added "connect" command
625 - Added "splitnets -driver"
626 - Added "opt_const -mux_undef"
627 - Added "opt_const -mux_bool"
628 - Added "opt_const -undriven"
629 - Added "opt -mux_undef -mux_bool -undriven -purge"
630 - Added "hierarchy -libdir"
631 - Added "hierarchy -purge_lib" (by default now do not remove lib cells)
632 - Added "delete" command
633 - Added "dump -append"
634 - Added "setattr" and "setparam" commands
635 - Added "design -stash/-copy-from/-copy-to"
636 - Added "copy" command
637 - Added "splice" command
638