Try way that doesn't involve creating a new wire
[yosys.git] / CHANGELOG
1
2 List of major changes and improvements between releases
3 =======================================================
4
5
6 Yosys 0.8 .. Yosys 0.8-dev
7 --------------------------
8
9 * Various
10 - Added $changed support to read_verilog
11 - Added "write_edif -attrprop"
12 - Added "ice40_unlut" pass
13 - Added "opt_lut" pass
14 - Added "synth_ice40 -relut"
15 - Added "synth_ice40 -noabc"
16 - Added "gate2lut.v" techmap rule
17 - Added "rename -src"
18 - Added "equiv_opt" pass
19 - Added "read_aiger" frontend
20 - "synth_xilinx" to now infer hard shift registers, using new "shregmap -tech xilinx"
21
22
23 Yosys 0.7 .. Yosys 0.8
24 ----------------------
25
26 * Various
27 - Many bugfixes and small improvements
28 - Strip debug symbols from installed binary
29 - Replace -ignore_redef with -[no]overwrite in front-ends
30 - Added write_verilog hex dump support, add -nohex option
31 - Added "write_verilog -decimal"
32 - Added "scc -set_attr"
33 - Added "verilog_defines" command
34 - Remeber defines from one read_verilog to next
35 - Added support for hierarchical defparam
36 - Added FIRRTL back-end
37 - Improved ABC default scripts
38 - Added "design -reset-vlog"
39 - Added "yosys -W regex", "yosys -w regex", and "yosys -e regex"
40 - Added Verilog $rtoi and $itor support
41 - Added "check -initdrv"
42 - Added "read_blif -wideports"
43 - Added support for systemVerilog "++" and "--" operators
44 - Added support for SystemVerilog unique, unique0, and priority case
45 - Added "write_edif" options for edif "flavors"
46 - Added support for resetall compiler directive
47 - Added simple C beck-end (bitwise combinatorical only atm)
48 - Added $_ANDNOT_ and $_ORNOT_ cell types
49 - Added cell library aliases to "abc -g"
50 - Added "setundef -anyseq"
51 - Added "chtype" command
52 - Added "design -import"
53 - Added "write_table" command
54 - Added "read_json" command
55 - Added "sim" command
56 - Added "extract_fa" and "extract_reduce" commands
57 - Added "extract_counter" command
58 - Added "opt_demorgan" command
59 - Added support for $size and $bits SystemVerilog functions
60 - Added "blackbox" command
61 - Added "ltp" command
62 - Added support for editline as replacement for readline
63 - Added warnings for driver-driver conflicts between FFs (and other cells) and constants
64 - Added "yosys -E" for creating Makefile dependencies files
65 - Added "synth -noshare"
66 - Added "memory_nordff"
67 - Added "setundef -undef -expose -anyconst"
68 - Added "expose -input"
69 - Added specify/specparam parser support (simply ignore them)
70 - Added "write_blif -inames -iattr"
71 - Added "hierarchy -simcheck"
72 - Added an option to statically link abc into yosys
73 - Added protobuf back-end
74 - Added BLIF parsing support for .conn and .cname
75 - Added read_verilog error checking for reg/wire/logic misuse
76 - Added "make coverage" and ENABLE_GCOV build option
77
78 * Changes in Yosys APIs
79 - Added ConstEval defaultval feature
80 - Added {get,set}_src_attribute() methods on RTLIL::AttrObject
81 - Added SigSpec::is_fully_ones() and Const::is_fully_ones()
82 - Added log_file_warning() and log_file_error() functions
83
84 * Formal Verification
85 - Added "write_aiger"
86 - Added "yosys-smtbmc --aig"
87 - Added "always <positive_int>" to .smtc format
88 - Added $cover cell type and support for cover properties
89 - Added $fair/$live cell type and support for liveness properties
90 - Added smtbmc support for memory vcd dumping
91 - Added "chformal" command
92 - Added "write_smt2 -stbv" and "write_smt2 -stdt"
93 - Fix equiv_simple, old behavior now available with "equiv_simple -short"
94 - Change to Yices2 as default SMT solver (it is GPL now)
95 - Added "yosys-smtbmc --presat" (now default in SymbiYosys)
96 - Added "yosys-smtbmc --smtc-init --smtc-top --noinit"
97 - Added a brand new "write_btor" command for BTOR2
98 - Added clk2fflogic memory support and other improvements
99 - Added "async memory write" support to write_smt2
100 - Simulate clock toggling in yosys-smtbmc VCD output
101 - Added $allseq/$allconst cells for EA-solving
102 - Make -nordff the default in "prep"
103 - Added (* gclk *) attribute
104 - Added "async2sync" pass for single-clock designs with async resets
105
106 * Verific support
107 - Many improvements in Verific front-end
108 - Added proper handling of concurent SVA properties
109 - Map "const" and "rand const" to $anyseq/$anyconst
110 - Added "verific -import -flatten" and "verific -import -extnets"
111 - Added "verific -vlog-incdir -vlog-define -vlog-libdir"
112 - Remove PSL support (because PSL has been removed in upstream Verific)
113 - Improve integration with "hierarchy" command design elaboration
114 - Added YOSYS_NOVERIFIC for running non-verific test cases with verific bin
115 - Added simpilied "read" command that automatically uses verific if available
116 - Added "verific -set-<severity> <msg_id>.."
117 - Added "verific -work <libname>"
118
119 * New back-ends
120 - Added initial Coolrunner-II support
121 - Added initial eASIC support
122 - Added initial ECP5 support
123
124 * GreenPAK Support
125 - Added support for GP_DLATCH, GP_SPI, GP_DCMx, GP_COUNTx, etc.
126
127 * iCE40 Support
128 - Add "synth_ice40 -vpr"
129 - Add "synth_ice40 -nodffe"
130 - Add "synth_ice40 -json"
131 - Add Support for UltraPlus cells
132
133 * MAX10 and Cyclone IV Support
134 - Added initial version of metacommand "synth_intel".
135 - Improved write_verilog command to produce VQM netlist for Quartus Prime.
136 - Added support for MAX10 FPGA family synthesis.
137 - Added support for Cyclone IV family synthesis.
138 - Added example of implementation for DE2i-150 board.
139 - Added example of implementation for MAX10 development kit.
140 - Added LFSR example from Asic World.
141 - Added "dffinit -highlow" for mapping to Intel primitives
142
143
144 Yosys 0.6 .. Yosys 0.7
145 ----------------------
146
147 * Various
148 - Added "yosys -D" feature
149 - Added support for installed plugins in $(DATDIR)/plugins/
150 - Renamed opt_const to opt_expr
151 - Renamed opt_share to opt_merge
152 - Added "prep -flatten" and "synth -flatten"
153 - Added "prep -auto-top" and "synth -auto-top"
154 - Using "mfs" and "lutpack" in ABC lut mapping
155 - Support for abstract modules in chparam
156 - Cleanup abstract modules at end of "hierarchy -top"
157 - Added tristate buffer support to iopadmap
158 - Added opt_expr support for div/mod by power-of-two
159 - Added "select -assert-min <N> -assert-max <N>"
160 - Added "attrmvcp" pass
161 - Added "attrmap" command
162 - Added "tee +INT -INT"
163 - Added "zinit" pass
164 - Added "setparam -type"
165 - Added "shregmap" pass
166 - Added "setundef -init"
167 - Added "nlutmap -assert"
168 - Added $sop cell type and "abc -sop -I <num> -P <num>"
169 - Added "dc2" to default ABC scripts
170 - Added "deminout"
171 - Added "insbuf" command
172 - Added "prep -nomem"
173 - Added "opt_rmdff -keepdc"
174 - Added "prep -nokeepdc"
175 - Added initial version of "synth_gowin"
176 - Added "fsm_expand -full"
177 - Added support for fsm_encoding="user"
178 - Many improvements in GreenPAK4 support
179 - Added black box modules for all Xilinx 7-series lib cells
180 - Added synth_ice40 support for latches via logic loops
181 - Fixed ice40_opt lut unmapping, added "ice40_opt -unlut"
182
183 * Build System
184 - Added ABCEXTERNAL and ABCURL make variables
185 - Added BINDIR, LIBDIR, and DATDIR make variables
186 - Added PKG_CONFIG make variable
187 - Added SEED make variable (for "make test")
188 - Added YOSYS_VER_STR make variable
189 - Updated min GCC requirement to GCC 4.8
190 - Updated required Bison version to Bison 3.x
191
192 * Internal APIs
193 - Added ast.h to exported headers
194 - Added ScriptPass helper class for script-like passes
195 - Added CellEdgesDatabase API
196
197 * Front-ends and Back-ends
198 - Added filename glob support to all front-ends
199 - Added avail (black-box) module params to ilang format
200 - Added $display %m support
201 - Added support for $stop Verilog system task
202 - Added support for SystemVerilog packages
203 - Fixed procedural assignments to non-unique lvalues, e.g. {y,y} = {a,b}
204 - Added support for "active high" and "active low" latches in read_blif and write_blif
205 - Use init value "2" for all uninitialized FFs in BLIF back-end
206 - Added "read_blif -sop"
207 - Added "write_blif -noalias"
208 - Added various write_blif options for VTR support
209 - write_json: also write module attributes.
210 - Added "write_verilog -nodec -nostr -defparam"
211 - Added "read_verilog -norestrict -assume-asserts"
212 - Added support for bus interfaces to "read_liberty -lib"
213 - Added liberty parser support for types within cell decls
214 - Added "write_verilog -renameprefix -v"
215 - Added "write_edif -nogndvcc"
216
217 * Formal Verification
218 - Support for hierarchical designs in smt2 back-end
219 - Yosys-smtbmc: Support for hierarchical VCD dumping
220 - Added $initstate cell type and vlog function
221 - Added $anyconst and $anyseq cell types and vlog functions
222 - Added printing of code loc of failed asserts to yosys-smtbmc
223 - Added memory_memx pass, "memory -memx", and "prep -memx"
224 - Added "proc_mux -ifx"
225 - Added "yosys-smtbmc -g"
226 - Deprecated "write_smt2 -regs" (by default on now)
227 - Made "write_smt2 -bv -mem" default, added "write_smt2 -nobv -nomem"
228 - Added support for memories to smtio.py
229 - Added "yosys-smtbmc --dump-vlogtb"
230 - Added "yosys-smtbmc --smtc --dump-smtc"
231 - Added "yosys-smtbmc --dump-all"
232 - Added assertpmux command
233 - Added "yosys-smtbmc --unroll"
234 - Added $past, $stable, $rose, $fell SVA functions
235 - Added "yosys-smtbmc --noinfo and --dummy"
236 - Added "yosys-smtbmc --noincr"
237 - Added "yosys-smtbmc --cex <filename>"
238 - Added $ff and $_FF_ cell types
239 - Added $global_clock verilog syntax support for creating $ff cells
240 - Added clk2fflogic
241
242
243 Yosys 0.5 .. Yosys 0.6
244 ----------------------
245
246 * Various
247 - Added Contributor Covenant Code of Conduct
248 - Various improvements in dict<> and pool<>
249 - Added hashlib::mfp and refactored SigMap
250 - Improved support for reals as module parameters
251 - Various improvements in SMT2 back-end
252 - Added "keep_hierarchy" attribute
253 - Verilog front-end: define `BLACKBOX in -lib mode
254 - Added API for converting internal cells to AIGs
255 - Added ENABLE_LIBYOSYS Makefile option
256 - Removed "techmap -share_map" (use "-map +/filename" instead)
257 - Switched all Python scripts to Python 3
258 - Added support for $display()/$write() and $finish() to Verilog front-end
259 - Added "yosys-smtbmc" formal verification flow
260 - Added options for clang sanitizers to Makefile
261
262 * New commands and options
263 - Added "scc -expect <N> -nofeedback"
264 - Added "proc_dlatch"
265 - Added "check"
266 - Added "select %xe %cie %coe %M %C %R"
267 - Added "sat -dump_json" (WaveJSON format)
268 - Added "sat -tempinduct-baseonly -tempinduct-inductonly"
269 - Added "sat -stepsize" and "sat -tempinduct-step"
270 - Added "sat -show-regs -show-public -show-all"
271 - Added "write_json" (Native Yosys JSON format)
272 - Added "write_blif -attr"
273 - Added "dffinit"
274 - Added "chparam"
275 - Added "muxcover"
276 - Added "pmuxtree"
277 - Added memory_bram "make_outreg" feature
278 - Added "splice -wires"
279 - Added "dff2dffe -direct-match"
280 - Added simplemap $lut support
281 - Added "read_blif"
282 - Added "opt_share -share_all"
283 - Added "aigmap"
284 - Added "write_smt2 -mem -regs -wires"
285 - Added "memory -nordff"
286 - Added "write_smv"
287 - Added "synth -nordff -noalumacc"
288 - Added "rename -top new_name"
289 - Added "opt_const -clkinv"
290 - Added "synth -nofsm"
291 - Added "miter -assert"
292 - Added "read_verilog -noautowire"
293 - Added "read_verilog -nodpi"
294 - Added "tribuf"
295 - Added "lut2mux"
296 - Added "nlutmap"
297 - Added "qwp"
298 - Added "test_cell -noeval"
299 - Added "edgetypes"
300 - Added "equiv_struct"
301 - Added "equiv_purge"
302 - Added "equiv_mark"
303 - Added "equiv_add -try -cell"
304 - Added "singleton"
305 - Added "abc -g -luts"
306 - Added "torder"
307 - Added "write_blif -cname"
308 - Added "submod -copy"
309 - Added "dffsr2dff"
310 - Added "stat -liberty"
311
312 * Synthesis metacommands
313 - Various improvements in synth_xilinx
314 - Added synth_ice40 and synth_greenpak4
315 - Added "prep" metacommand for "synthesis lite"
316
317 * Cell library changes
318 - Added cell types to "help" system
319 - Added $meminit cell type
320 - Added $assume cell type
321 - Added $_MUX4_, $_MUX8_, and $_MUX16_ cells
322 - Added $tribuf and $_TBUF_ cell types
323 - Added read-enable to memory model
324
325 * YosysJS
326 - Various improvements in emscripten build
327 - Added alternative webworker-based JS API
328 - Added a few example applications
329
330
331 Yosys 0.4 .. Yosys 0.5
332 ----------------------
333
334 * API changes
335 - Added log_warning()
336 - Added eval_select_args() and eval_select_op()
337 - Added cell->known(), cell->input(portname), cell->output(portname)
338 - Skip blackbox modules in design->selected_modules()
339 - Replaced std::map<> and std::set<> with dict<> and pool<>
340 - New SigSpec::extend() is what used to be SigSpec::extend_u0()
341 - Added YS_OVERRIDE, YS_FINAL, YS_ATTRIBUTE, YS_NORETURN
342
343 * Cell library changes
344 - Added flip-flops with enable ($dffe etc.)
345 - Added $equiv cells for equivalence checking framework
346
347 * Various
348 - Updated ABC to hg rev 61ad5f908c03
349 - Added clock domain partitioning to ABC pass
350 - Improved plugin building (see "yosys-config --build")
351 - Added ENABLE_NDEBUG Makefile flag for high-performance builds
352 - Added "yosys -d", "yosys -L" and other driver improvements
353 - Added support for multi-bit (array) cell ports to "write_edif"
354 - Now printing most output to stdout, not stderr
355 - Added "onehot" attribute (set by "fsm_map")
356 - Various performance improvements
357 - Vastly improved Xilinx flow
358 - Added "make unsintall"
359
360 * Equivalence checking
361 - Added equivalence checking commands:
362 equiv_make equiv_simple equiv_status
363 equiv_induct equiv_miter
364 equiv_add equiv_remove
365
366 * Block RAM support:
367 - Added "memory_bram" command
368 - Added BRAM support to Xilinx flow
369
370 * Other New Commands and Options
371 - Added "dff2dffe"
372 - Added "fsm -encfile"
373 - Added "dfflibmap -prepare"
374 - Added "write_blid -unbuf -undef -blackbox"
375 - Added "write_smt2" for writing SMT-LIBv2 files
376 - Added "test_cell -w -muxdiv"
377 - Added "select -read"
378
379
380 Yosys 0.3.0 .. Yosys 0.4
381 ------------------------
382
383 * Platform Support
384 - Added support for mxe-based cross-builds for win32
385 - Added sourcecode-export as VisualStudio project
386 - Added experimental EMCC (JavaScript) support
387
388 * Verilog Frontend
389 - Added -sv option for SystemVerilog (and automatic *.sv file support)
390 - Added support for real-valued constants and constant expressions
391 - Added support for non-standard "via_celltype" attribute on task/func
392 - Added support for non-standard "module mod_name(...);" syntax
393 - Added support for non-standard """ macro bodies
394 - Added support for array with more than one dimension
395 - Added support for $readmemh and $readmemb
396 - Added support for DPI functions
397
398 * Changes in internal cell library
399 - Added $shift and $shiftx cell types
400 - Added $alu, $lcu, $fa and $macc cell types
401 - Removed $bu0 and $safe_pmux cell types
402 - $mem/$memwr WR_EN input is now a per-data-bit enable signal
403 - Added $_NAND_ $_NOR_ $_XNOR_ $_AOI3_ $_OAI3_ $_AOI4_ $_OAI4_
404 - Renamed ports of $lut cells (from I->O to A->Y)
405 - Renamed $_INV_ to $_NOT_
406
407 * Changes for simple synthesis flows
408 - There is now a "synth" command with a recommended default script
409 - Many improvements in synthesis of arithmetic functions to gates
410 - Multipliers and adders with many operands are using carry-save adder trees
411 - Remaining adders are now implemented using Brent-Kung carry look-ahead adders
412 - Various new high-level optimizations on RTL netlist
413 - Various improvements in FSM optimization
414 - Updated ABC to hg 5b5af75f1dda (from 2014-11-07)
415
416 * Changes in internal APIs and RTLIL
417 - Added log_id() and log_cell() helper functions
418 - Added function-like cell creation helpers
419 - Added GetSize() function (like .size() but with int)
420 - Major refactoring of RTLIL::Module and related classes
421 - Major refactoring of RTLIL::SigSpec and related classes
422 - Now RTLIL::IdString is essentially an int
423 - Added macros for code coverage counters
424 - Added some Makefile magic for pretty make logs
425 - Added "kernel/yosys.h" with all the core definitions
426 - Changed a lot of code from FILE* to c++ streams
427 - Added RTLIL::Monitor API and "trace" command
428 - Added "Yosys" C++ namespace
429
430 * Changes relevant to SAT solving
431 - Added ezSAT::keep_cnf() and ezSAT::non_incremental()
432 - Added native ezSAT support for vector shift ops
433 - Updated MiniSAT to git 37dc6c67e2 (from 2013-09-25)
434
435 * New commands (or large improvements to commands)
436 - Added "synth" command with default script
437 - Added "share" (finally some real resource sharing)
438 - Added "memory_share" (reduce number of ports on memories)
439 - Added "wreduce" and "alumacc" commands
440 - Added "opt -keepdc -fine -full -fast"
441 - Added some "test_*" commands
442
443 * Various other changes
444 - Added %D and %c select operators
445 - Added support for labels in yosys scripts
446 - Added support for here-documents in yosys scripts
447 - Support "+/" prefix for files from proc_share_dir
448 - Added "autoidx" statement to ilang language
449 - Switched from "yosys-svgviewer" to "xdot"
450 - Renamed "stdcells.v" to "techmap.v"
451 - Various bug fixes and small improvements
452 - Improved welcome and bye messages
453
454
455 Yosys 0.2.0 .. Yosys 0.3.0
456 --------------------------
457
458 * Driver program and overall behavior:
459 - Added "design -push" and "design -pop"
460 - Added "tee" command for redirecting log output
461
462 * Changes in the internal cell library:
463 - Added $dlatchsr and $_DLATCHSR_???_ cell types
464
465 * Improvements in Verilog frontend:
466 - Improved support for const functions (case, always, repeat)
467 - The generate..endgenerate keywords are now optional
468 - Added support for arrays of module instances
469 - Added support for "`default_nettype" directive
470 - Added support for "`line" directive
471
472 * Other front- and back-ends:
473 - Various changes to "write_blif" options
474 - Various improvements in EDIF backend
475 - Added "vhdl2verilog" pseudo-front-end
476 - Added "verific" pseudo-front-end
477
478 * Improvements in technology mapping:
479 - Added support for recursive techmap
480 - Added CONSTMSK and CONSTVAL features to techmap
481 - Added _TECHMAP_CONNMAP_*_ feature to techmap
482 - Added _TECHMAP_REPLACE_ feature to techmap
483 - Added "connwrappers" command for wrap-extract-unwrap method
484 - Added "extract -map %<design_name>" feature
485 - Added "extract -ignore_param ..." and "extract -ignore_parameters"
486 - Added "techmap -max_iter" option
487
488 * Improvements to "eval" and "sat" framework:
489 - Now include a copy of Minisat (with build fixes applied)
490 - Switched to Minisat::SimpSolver as SAT back-end
491 - Added "sat -dump_vcd" feature
492 - Added "sat -dump_cnf" feature
493 - Added "sat -initsteps <N>" feature
494 - Added "freduce -stop <N>" feature
495 - Added "freduce -dump <prefix>" feature
496
497 * Integration with ABC:
498 - Updated ABC rev to 7600ffb9340c
499
500 * Improvements in the internal APIs:
501 - Added RTLIL::Module::add... helper methods
502 - Various build fixes for OSX (Darwin) and OpenBSD
503
504
505 Yosys 0.1.0 .. Yosys 0.2.0
506 --------------------------
507
508 * Changes to the driver program:
509 - Added "yosys -h" and "yosys -H"
510 - Added support for backslash line continuation in scripts
511 - Added support for #-comments in same line as command
512 - Added "echo" and "log" commands
513
514 * Improvements in Verilog frontend:
515 - Added support for local registers in named blocks
516 - Added support for "case" in "generate" blocks
517 - Added support for $clog2 system function
518 - Added support for basic SystemVerilog assert statements
519 - Added preprocessor support for macro arguments
520 - Added preprocessor support for `elsif statement
521 - Added "verilog_defaults" command
522 - Added read_verilog -icells option
523 - Added support for constant sizes from parameters
524 - Added "read_verilog -setattr"
525 - Added support for function returning 'integer'
526 - Added limited support for function calls in parameter values
527 - Added "read_verilog -defer" to suppress evaluation of modules with default parameters
528
529 * Other front- and back-ends:
530 - Added BTOR backend
531 - Added Liberty frontend
532
533 * Improvements in technology mapping:
534 - The "dfflibmap" command now strongly prefers solutions with
535 no inverters in clock paths
536 - The "dfflibmap" command now prefers cells with smaller area
537 - Added support for multiple -map options to techmap
538 - Added "dfflibmap" support for //-comments in liberty files
539 - Added "memory_unpack" command to revert "memory_collect"
540 - Added standard techmap rule "techmap -share_map pmux2mux.v"
541 - Added "iopadmap -bits"
542 - Added "setundef" command
543 - Added "hilomap" command
544
545 * Changes in the internal cell library:
546 - Major rewrite of simlib.v for better compatibility with other tools
547 - Added PRIORITY parameter to $memwr cells
548 - Added TRANSPARENT parameter to $memrd cells
549 - Added RD_TRANSPARENT parameter to $mem cells
550 - Added $bu0 cell (always 0-extend, even undef MSB)
551 - Added $assert cell type
552 - Added $slice and $concat cell types
553
554 * Integration with ABC:
555 - Updated ABC to hg rev 2058c8ccea68
556 - Tighter integration of ABC build with Yosys build. The make
557 targets 'make abc' and 'make install-abc' are now obsolete.
558 - Added support for passing FFs from one clock domain through ABC
559 - Now always use BLIF as exchange format with ABC
560 - Added support for "abc -script +<command_sequence>"
561 - Improved standard ABC recipe
562 - Added support for "keep" attribute to abc command
563 - Added "abc -dff / -clk / -keepff" options
564
565 * Improvements to "eval" and "sat" framework:
566 - Added support for "0" and "~0" in right-hand side -set expressions
567 - Added "eval -set-undef" and "eval -table"
568 - Added "sat -set-init" and "sat -set-init-*" for sequential problems
569 - Added undef support to SAT solver, incl. various new "sat" options
570 - Added correct support for === and !== for "eval" and "sat"
571 - Added "sat -tempinduct" (default -seq is now non-induction sequential)
572 - Added "sat -prove-asserts"
573 - Complete rewrite of the 'freduce' command
574 - Added "miter" command
575 - Added "sat -show-inputs" and "sat -show-outputs"
576 - Added "sat -ignore_unknown_cells" (now produce an error by default)
577 - Added "sat -falsify"
578 - Now "sat -verify" and "sat -falsify" can also be used without "-prove"
579 - Added "expose" command
580 - Added support for @<sel_name> to sat and eval signal expressions
581
582 * Changes in the 'make test' framework and auxiliary test tools:
583 - Added autotest.sh -p and -f options
584 - Replaced autotest.sh ISIM support with XSIM support
585 - Added test cases for SAT framework
586
587 * Added "abbreviated IDs":
588 - Now $<something>$foo can be abbreviated as $foo.
589 - Usually this last part is a unique id (from RTLIL::autoidx)
590 - This abbreviated IDs are now also used in "show" output
591
592 * Other changes to selection framework:
593 - Now */ is optional in */<mode>:<arg> expressions
594 - Added "select -assert-none" and "select -assert-any"
595 - Added support for matching modules by attribute (A:<expr>)
596 - Added "select -none"
597 - Added support for r:<expr> pattern for matching cell parameters
598 - Added support for !=, <, <=, >=, > for attribute and parameter matching
599 - Added support for %s for selecting sub-modules
600 - Added support for %m for expanding selections to whole modules
601 - Added support for i:*, o:* and x:* pattern for selecting module ports
602 - Added support for s:<expr> pattern for matching wire width
603 - Added support for %a operation to select wire aliases
604
605 * Various other changes to commands and options:
606 - The "ls" command now supports wildcards
607 - Added "show -pause" and "show -format dot"
608 - Added "show -color" support for cells
609 - Added "show -label" and "show -notitle"
610 - Added "dump -m" and "dump -n"
611 - Added "history" command
612 - Added "rename -hide"
613 - Added "connect" command
614 - Added "splitnets -driver"
615 - Added "opt_const -mux_undef"
616 - Added "opt_const -mux_bool"
617 - Added "opt_const -undriven"
618 - Added "opt -mux_undef -mux_bool -undriven -purge"
619 - Added "hierarchy -libdir"
620 - Added "hierarchy -purge_lib" (by default now do not remove lib cells)
621 - Added "delete" command
622 - Added "dump -append"
623 - Added "setattr" and "setparam" commands
624 - Added "design -stash/-copy-from/-copy-to"
625 - Added "copy" command
626 - Added "splice" command
627