Remove &verify -s
[yosys.git] / CHANGELOG
1
2 List of major changes and improvements between releases
3 =======================================================
4
5
6 Yosys 0.9 .. Yosys 0.9-dev
7 --------------------------
8
9 * Various
10 - Added "write_xaiger" backend
11 - Added "abc9" pass for timing-aware techmapping (experimental, FPGA only, no FFs)
12 - Added "synth_xilinx -abc9" (experimental)
13 - Added "synth_ice40 -abc9" (experimental)
14 - Added "synth -abc9" (experimental)
15 - Added "script -scriptwire"
16 - Added "synth_xilinx -nocarry"
17 - Added "synth_xilinx -nowidelut"
18 - Added "synth_ecp5 -nowidelut"
19 - "synth_xilinx" to now infer wide multiplexers (-widemux <min> to enable)
20 - Renamed labels/options in synth_ice40 (e.g. dram -> map_lutram; -nodram -> -nolutram)
21 - Renamed labels/options in synth_ecp5 (e.g. dram -> map_lutram; -nodram -> -nolutram)
22 - Renamed labels in synth_intel (e.g. bram -> map_bram)
23 - Renamed labels/options in synth_xilinx (e.g. dram -> map_lutram; -nodram -> -nolutram)
24 - Added automatic gzip decompression for frontends
25 - Added $_NMUX_ cell type
26 - Added automatic gzip compression (based on filename extension) for backends
27 - Improve attribute and parameter encoding in JSON to avoid ambiguities between
28 bit vectors and strings containing [01xz]*
29 - Added "clkbufmap" pass
30 - Added "extractinv" pass and "invertible_pin" attribute
31 - Added "synth_xilinx -family xc6s" for Spartan 6 support (experimental)
32 - Added "synth_xilinx -ise" (experimental)
33 - Added "synth_xilinx -iopad"
34 - "synth_xilinx" now automatically inserts clock buffers (add -noclkbuf to disable)
35 - Improvements in pmgen: subpattern and recursive matches
36 - Added "opt_share" pass, run as part of "opt -full"
37 - Added "ice40_wrapcarry" to encapsulate SB_LUT+SB_CARRY pairs for techmapping
38 - Removed "ice40_unlut"
39 - Improvements in pmgen: slices, choices, define, generate
40 - Added "xilinx_srl" for Xilinx shift register extraction
41 - Removed "shregmap -tech xilinx" (superseded by "xilinx_srl")
42 - Added "_TECHMAP_WIREINIT_*_" attribute and "_TECHMAP_REMOVEINIT_*_" wire for "techmap" pass
43 - Added "-match-init" option to "dff2dffs" pass
44 - Added "techmap_autopurge" support to techmap
45 - Added "add -mod <modname[s]>"
46 - Added +/mul2dsp.v for decomposing wide multipliers to custom-sized ones
47 - Added "ice40_dsp" for Lattice iCE40 DSP packing
48 - Added "xilinx_dsp" for Xilinx DSP packing
49 - "synth_xilinx" to now infer DSP blocks (-nodsp to disable)
50 - "synth_ecp5" to now infer DSP blocks (-nodsp to disable, experimental)
51 - "synth_ice40 -dsp" to infer DSP blocks
52 - Added latch support to synth_xilinx
53 - Added "check -mapped"
54 - Added checking of SystemVerilog always block types (always_comb,
55 always_latch and always_ff)
56
57 Yosys 0.8 .. Yosys 0.9
58 ----------------------
59
60 * Various
61 - Many bugfixes and small improvements
62 - Added support for SystemVerilog interfaces and modports
63 - Added "write_edif -attrprop"
64 - Added "opt_lut" pass
65 - Added "gate2lut.v" techmap rule
66 - Added "rename -src"
67 - Added "equiv_opt" pass
68 - Added "flowmap" LUT mapping pass
69 - Added "rename -wire" to rename cells based on the wires they drive
70 - Added "bugpoint" for creating minimised testcases
71 - Added "write_edif -gndvccy"
72 - "write_verilog" to escape Verilog keywords
73 - Fixed sign handling of real constants
74 - "write_verilog" to write initial statement for initial flop state
75 - Added pmgen pattern matcher generator
76 - Fixed opt_rmdff handling of $_DFFSR_???_ and $_DLATCHSR_???_
77 - Added "setundef -params" to replace undefined cell parameters
78 - Renamed "yosys -D" to "yosys -U", added "yosys -D" to set Verilog defines
79 - Fixed handling of defparam when default_nettype is none
80 - Fixed "wreduce" flipflop handling
81 - Fixed FIRRTL to Verilog process instance subfield assignment
82 - Added "write_verilog -siminit"
83 - Several fixes and improvements for mem2reg memories
84 - Fixed handling of task output ports in clocked always blocks
85 - Improved handling of and-with-1 and or-with-0 in "opt_expr"
86 - Added "read_aiger" frontend
87 - Added "mutate" pass
88 - Added "hdlname" attribute
89 - Added "rename -output"
90 - Added "read_ilang -lib"
91 - Improved "proc" full_case detection and handling
92 - Added "whitebox" and "lib_whitebox" attributes
93 - Added "read_verilog -nowb", "flatten -wb" and "wbflip"
94 - Added Python bindings and support for Python plug-ins
95 - Added "pmux2shiftx"
96 - Added log_debug framework for reduced default verbosity
97 - Improved "opt_expr" and "opt_clean" handling of (partially) undriven and/or unused wires
98 - Added "peepopt" peephole optimisation pass using pmgen
99 - Added approximate support for SystemVerilog "var" keyword
100 - Added parsing of "specify" blocks into $specrule and $specify[23]
101 - Added support for attributes on parameters and localparams
102 - Added support for parsing attributes on port connections
103 - Added "wreduce -keepdc"
104 - Added support for optimising $dffe and $_DFFE_* cells in "opt_rmdff"
105 - Added Verilog wand/wor wire type support
106 - Added support for elaboration system tasks
107 - Added "muxcover -mux{4,8,16}=<cost>"
108 - Added "muxcover -dmux=<cost>"
109 - Added "muxcover -nopartial"
110 - Added "muxpack" pass
111 - Added "pmux2shiftx -norange"
112 - Added support for "~" in filename parsing
113 - Added "read_verilog -pwires" feature to turn parameters into wires
114 - Fixed sign extension of unsized constants with 'bx and 'bz MSB
115 - Fixed genvar to be a signed type
116 - Added support for attributes on case rules
117 - Added "upto" and "offset" to JSON frontend and backend
118 - Several liberty file parser improvements
119 - Fixed handling of more complex BRAM patterns
120 - Add "write_aiger -I -O -B"
121
122 * Formal Verification
123 - Added $changed support to read_verilog
124 - Added "read_verilog -noassert -noassume -assert-assumes"
125 - Added btor ops for $mul, $div, $mod and $concat
126 - Added yosys-smtbmc support for btor witnesses
127 - Added "supercover" pass
128 - Fixed $global_clock handling vs autowire
129 - Added $dffsr support to "async2sync"
130 - Added "fmcombine" pass
131 - Added memory init support in "write_btor"
132 - Added "cutpoint" pass
133 - Changed "ne" to "neq" in btor2 output
134 - Added support for SVA "final" keyword
135 - Added "fmcombine -initeq -anyeq"
136 - Added timescale and generated-by header to yosys-smtbmc vcd output
137 - Improved BTOR2 handling of undriven wires
138
139 * Verific support
140 - Enabled Verific flags vhdl_support_variable_slice and veri_elaborate_top_level_modules_having_interface_ports
141 - Improved support for asymmetric memories
142 - Added "verific -chparam"
143 - Fixed "verific -extnets" for more complex situations
144 - Added "read -verific" and "read -noverific"
145 - Added "hierarchy -chparam"
146
147 * New back-ends
148 - Added initial Anlogic support
149 - Added initial SmartFusion2 and IGLOO2 support
150
151 * ECP5 support
152 - Added "synth_ecp5 -nowidelut"
153 - Added BRAM inference support to "synth_ecp5"
154 - Added support for transforming Diamond IO and flipflop primitives
155
156 * iCE40 support
157 - Added "ice40_unlut" pass
158 - Added "synth_ice40 -relut"
159 - Added "synth_ice40 -noabc"
160 - Added "synth_ice40 -dffe_min_ce_use"
161 - Added DSP inference support using pmgen
162 - Added support for initialising BRAM primitives from a file
163 - Added iCE40 Ultra RGB LED driver cells
164
165 * Xilinx support
166 - Use "write_edif -pvector bra" for Xilinx EDIF files
167 - Fixes for VPR place and route support with "synth_xilinx"
168 - Added more cell simulation models
169 - Added "synth_xilinx -family"
170 - Added "stat -tech xilinx" to estimate logic cell usage
171 - Added "synth_xilinx -nocarry"
172 - Added "synth_xilinx -nowidelut"
173 - "synth_xilinx" to now infer hard shift registers (-nosrl to disable)
174 - Added support for mapping RAM32X1D
175
176 Yosys 0.7 .. Yosys 0.8
177 ----------------------
178
179 * Various
180 - Many bugfixes and small improvements
181 - Strip debug symbols from installed binary
182 - Replace -ignore_redef with -[no]overwrite in front-ends
183 - Added write_verilog hex dump support, add -nohex option
184 - Added "write_verilog -decimal"
185 - Added "scc -set_attr"
186 - Added "verilog_defines" command
187 - Remember defines from one read_verilog to next
188 - Added support for hierarchical defparam
189 - Added FIRRTL back-end
190 - Improved ABC default scripts
191 - Added "design -reset-vlog"
192 - Added "yosys -W regex", "yosys -w regex", and "yosys -e regex"
193 - Added Verilog $rtoi and $itor support
194 - Added "check -initdrv"
195 - Added "read_blif -wideports"
196 - Added support for SystemVerilog "++" and "--" operators
197 - Added support for SystemVerilog unique, unique0, and priority case
198 - Added "write_edif" options for edif "flavors"
199 - Added support for resetall compiler directive
200 - Added simple C beck-end (bitwise combinatorical only atm)
201 - Added $_ANDNOT_ and $_ORNOT_ cell types
202 - Added cell library aliases to "abc -g"
203 - Added "setundef -anyseq"
204 - Added "chtype" command
205 - Added "design -import"
206 - Added "write_table" command
207 - Added "read_json" command
208 - Added "sim" command
209 - Added "extract_fa" and "extract_reduce" commands
210 - Added "extract_counter" command
211 - Added "opt_demorgan" command
212 - Added support for $size and $bits SystemVerilog functions
213 - Added "blackbox" command
214 - Added "ltp" command
215 - Added support for editline as replacement for readline
216 - Added warnings for driver-driver conflicts between FFs (and other cells) and constants
217 - Added "yosys -E" for creating Makefile dependencies files
218 - Added "synth -noshare"
219 - Added "memory_nordff"
220 - Added "setundef -undef -expose -anyconst"
221 - Added "expose -input"
222 - Added specify/specparam parser support (simply ignore them)
223 - Added "write_blif -inames -iattr"
224 - Added "hierarchy -simcheck"
225 - Added an option to statically link abc into yosys
226 - Added protobuf back-end
227 - Added BLIF parsing support for .conn and .cname
228 - Added read_verilog error checking for reg/wire/logic misuse
229 - Added "make coverage" and ENABLE_GCOV build option
230
231 * Changes in Yosys APIs
232 - Added ConstEval defaultval feature
233 - Added {get,set}_src_attribute() methods on RTLIL::AttrObject
234 - Added SigSpec::is_fully_ones() and Const::is_fully_ones()
235 - Added log_file_warning() and log_file_error() functions
236
237 * Formal Verification
238 - Added "write_aiger"
239 - Added "yosys-smtbmc --aig"
240 - Added "always <positive_int>" to .smtc format
241 - Added $cover cell type and support for cover properties
242 - Added $fair/$live cell type and support for liveness properties
243 - Added smtbmc support for memory vcd dumping
244 - Added "chformal" command
245 - Added "write_smt2 -stbv" and "write_smt2 -stdt"
246 - Fix equiv_simple, old behavior now available with "equiv_simple -short"
247 - Change to Yices2 as default SMT solver (it is GPL now)
248 - Added "yosys-smtbmc --presat" (now default in SymbiYosys)
249 - Added "yosys-smtbmc --smtc-init --smtc-top --noinit"
250 - Added a brand new "write_btor" command for BTOR2
251 - Added clk2fflogic memory support and other improvements
252 - Added "async memory write" support to write_smt2
253 - Simulate clock toggling in yosys-smtbmc VCD output
254 - Added $allseq/$allconst cells for EA-solving
255 - Make -nordff the default in "prep"
256 - Added (* gclk *) attribute
257 - Added "async2sync" pass for single-clock designs with async resets
258
259 * Verific support
260 - Many improvements in Verific front-end
261 - Added proper handling of concurent SVA properties
262 - Map "const" and "rand const" to $anyseq/$anyconst
263 - Added "verific -import -flatten" and "verific -import -extnets"
264 - Added "verific -vlog-incdir -vlog-define -vlog-libdir"
265 - Remove PSL support (because PSL has been removed in upstream Verific)
266 - Improve integration with "hierarchy" command design elaboration
267 - Added YOSYS_NOVERIFIC for running non-verific test cases with verific bin
268 - Added simpilied "read" command that automatically uses verific if available
269 - Added "verific -set-<severity> <msg_id>.."
270 - Added "verific -work <libname>"
271
272 * New back-ends
273 - Added initial Coolrunner-II support
274 - Added initial eASIC support
275 - Added initial ECP5 support
276
277 * GreenPAK Support
278 - Added support for GP_DLATCH, GP_SPI, GP_DCMx, GP_COUNTx, etc.
279
280 * iCE40 Support
281 - Add "synth_ice40 -vpr"
282 - Add "synth_ice40 -nodffe"
283 - Add "synth_ice40 -json"
284 - Add Support for UltraPlus cells
285
286 * MAX10 and Cyclone IV Support
287 - Added initial version of metacommand "synth_intel".
288 - Improved write_verilog command to produce VQM netlist for Quartus Prime.
289 - Added support for MAX10 FPGA family synthesis.
290 - Added support for Cyclone IV family synthesis.
291 - Added example of implementation for DE2i-150 board.
292 - Added example of implementation for MAX10 development kit.
293 - Added LFSR example from Asic World.
294 - Added "dffinit -highlow" for mapping to Intel primitives
295
296
297 Yosys 0.6 .. Yosys 0.7
298 ----------------------
299
300 * Various
301 - Added "yosys -D" feature
302 - Added support for installed plugins in $(DATDIR)/plugins/
303 - Renamed opt_const to opt_expr
304 - Renamed opt_share to opt_merge
305 - Added "prep -flatten" and "synth -flatten"
306 - Added "prep -auto-top" and "synth -auto-top"
307 - Using "mfs" and "lutpack" in ABC lut mapping
308 - Support for abstract modules in chparam
309 - Cleanup abstract modules at end of "hierarchy -top"
310 - Added tristate buffer support to iopadmap
311 - Added opt_expr support for div/mod by power-of-two
312 - Added "select -assert-min <N> -assert-max <N>"
313 - Added "attrmvcp" pass
314 - Added "attrmap" command
315 - Added "tee +INT -INT"
316 - Added "zinit" pass
317 - Added "setparam -type"
318 - Added "shregmap" pass
319 - Added "setundef -init"
320 - Added "nlutmap -assert"
321 - Added $sop cell type and "abc -sop -I <num> -P <num>"
322 - Added "dc2" to default ABC scripts
323 - Added "deminout"
324 - Added "insbuf" command
325 - Added "prep -nomem"
326 - Added "opt_rmdff -keepdc"
327 - Added "prep -nokeepdc"
328 - Added initial version of "synth_gowin"
329 - Added "fsm_expand -full"
330 - Added support for fsm_encoding="user"
331 - Many improvements in GreenPAK4 support
332 - Added black box modules for all Xilinx 7-series lib cells
333 - Added synth_ice40 support for latches via logic loops
334 - Fixed ice40_opt lut unmapping, added "ice40_opt -unlut"
335
336 * Build System
337 - Added ABCEXTERNAL and ABCURL make variables
338 - Added BINDIR, LIBDIR, and DATDIR make variables
339 - Added PKG_CONFIG make variable
340 - Added SEED make variable (for "make test")
341 - Added YOSYS_VER_STR make variable
342 - Updated min GCC requirement to GCC 4.8
343 - Updated required Bison version to Bison 3.x
344
345 * Internal APIs
346 - Added ast.h to exported headers
347 - Added ScriptPass helper class for script-like passes
348 - Added CellEdgesDatabase API
349
350 * Front-ends and Back-ends
351 - Added filename glob support to all front-ends
352 - Added avail (black-box) module params to ilang format
353 - Added $display %m support
354 - Added support for $stop Verilog system task
355 - Added support for SystemVerilog packages
356 - Fixed procedural assignments to non-unique lvalues, e.g. {y,y} = {a,b}
357 - Added support for "active high" and "active low" latches in read_blif and write_blif
358 - Use init value "2" for all uninitialized FFs in BLIF back-end
359 - Added "read_blif -sop"
360 - Added "write_blif -noalias"
361 - Added various write_blif options for VTR support
362 - write_json: also write module attributes.
363 - Added "write_verilog -nodec -nostr -defparam"
364 - Added "read_verilog -norestrict -assume-asserts"
365 - Added support for bus interfaces to "read_liberty -lib"
366 - Added liberty parser support for types within cell decls
367 - Added "write_verilog -renameprefix -v"
368 - Added "write_edif -nogndvcc"
369
370 * Formal Verification
371 - Support for hierarchical designs in smt2 back-end
372 - Yosys-smtbmc: Support for hierarchical VCD dumping
373 - Added $initstate cell type and vlog function
374 - Added $anyconst and $anyseq cell types and vlog functions
375 - Added printing of code loc of failed asserts to yosys-smtbmc
376 - Added memory_memx pass, "memory -memx", and "prep -memx"
377 - Added "proc_mux -ifx"
378 - Added "yosys-smtbmc -g"
379 - Deprecated "write_smt2 -regs" (by default on now)
380 - Made "write_smt2 -bv -mem" default, added "write_smt2 -nobv -nomem"
381 - Added support for memories to smtio.py
382 - Added "yosys-smtbmc --dump-vlogtb"
383 - Added "yosys-smtbmc --smtc --dump-smtc"
384 - Added "yosys-smtbmc --dump-all"
385 - Added assertpmux command
386 - Added "yosys-smtbmc --unroll"
387 - Added $past, $stable, $rose, $fell SVA functions
388 - Added "yosys-smtbmc --noinfo and --dummy"
389 - Added "yosys-smtbmc --noincr"
390 - Added "yosys-smtbmc --cex <filename>"
391 - Added $ff and $_FF_ cell types
392 - Added $global_clock verilog syntax support for creating $ff cells
393 - Added clk2fflogic
394
395
396 Yosys 0.5 .. Yosys 0.6
397 ----------------------
398
399 * Various
400 - Added Contributor Covenant Code of Conduct
401 - Various improvements in dict<> and pool<>
402 - Added hashlib::mfp and refactored SigMap
403 - Improved support for reals as module parameters
404 - Various improvements in SMT2 back-end
405 - Added "keep_hierarchy" attribute
406 - Verilog front-end: define `BLACKBOX in -lib mode
407 - Added API for converting internal cells to AIGs
408 - Added ENABLE_LIBYOSYS Makefile option
409 - Removed "techmap -share_map" (use "-map +/filename" instead)
410 - Switched all Python scripts to Python 3
411 - Added support for $display()/$write() and $finish() to Verilog front-end
412 - Added "yosys-smtbmc" formal verification flow
413 - Added options for clang sanitizers to Makefile
414
415 * New commands and options
416 - Added "scc -expect <N> -nofeedback"
417 - Added "proc_dlatch"
418 - Added "check"
419 - Added "select %xe %cie %coe %M %C %R"
420 - Added "sat -dump_json" (WaveJSON format)
421 - Added "sat -tempinduct-baseonly -tempinduct-inductonly"
422 - Added "sat -stepsize" and "sat -tempinduct-step"
423 - Added "sat -show-regs -show-public -show-all"
424 - Added "write_json" (Native Yosys JSON format)
425 - Added "write_blif -attr"
426 - Added "dffinit"
427 - Added "chparam"
428 - Added "muxcover"
429 - Added "pmuxtree"
430 - Added memory_bram "make_outreg" feature
431 - Added "splice -wires"
432 - Added "dff2dffe -direct-match"
433 - Added simplemap $lut support
434 - Added "read_blif"
435 - Added "opt_share -share_all"
436 - Added "aigmap"
437 - Added "write_smt2 -mem -regs -wires"
438 - Added "memory -nordff"
439 - Added "write_smv"
440 - Added "synth -nordff -noalumacc"
441 - Added "rename -top new_name"
442 - Added "opt_const -clkinv"
443 - Added "synth -nofsm"
444 - Added "miter -assert"
445 - Added "read_verilog -noautowire"
446 - Added "read_verilog -nodpi"
447 - Added "tribuf"
448 - Added "lut2mux"
449 - Added "nlutmap"
450 - Added "qwp"
451 - Added "test_cell -noeval"
452 - Added "edgetypes"
453 - Added "equiv_struct"
454 - Added "equiv_purge"
455 - Added "equiv_mark"
456 - Added "equiv_add -try -cell"
457 - Added "singleton"
458 - Added "abc -g -luts"
459 - Added "torder"
460 - Added "write_blif -cname"
461 - Added "submod -copy"
462 - Added "dffsr2dff"
463 - Added "stat -liberty"
464
465 * Synthesis metacommands
466 - Various improvements in synth_xilinx
467 - Added synth_ice40 and synth_greenpak4
468 - Added "prep" metacommand for "synthesis lite"
469
470 * Cell library changes
471 - Added cell types to "help" system
472 - Added $meminit cell type
473 - Added $assume cell type
474 - Added $_MUX4_, $_MUX8_, and $_MUX16_ cells
475 - Added $tribuf and $_TBUF_ cell types
476 - Added read-enable to memory model
477
478 * YosysJS
479 - Various improvements in emscripten build
480 - Added alternative webworker-based JS API
481 - Added a few example applications
482
483
484 Yosys 0.4 .. Yosys 0.5
485 ----------------------
486
487 * API changes
488 - Added log_warning()
489 - Added eval_select_args() and eval_select_op()
490 - Added cell->known(), cell->input(portname), cell->output(portname)
491 - Skip blackbox modules in design->selected_modules()
492 - Replaced std::map<> and std::set<> with dict<> and pool<>
493 - New SigSpec::extend() is what used to be SigSpec::extend_u0()
494 - Added YS_OVERRIDE, YS_FINAL, YS_ATTRIBUTE, YS_NORETURN
495
496 * Cell library changes
497 - Added flip-flops with enable ($dffe etc.)
498 - Added $equiv cells for equivalence checking framework
499
500 * Various
501 - Updated ABC to hg rev 61ad5f908c03
502 - Added clock domain partitioning to ABC pass
503 - Improved plugin building (see "yosys-config --build")
504 - Added ENABLE_NDEBUG Makefile flag for high-performance builds
505 - Added "yosys -d", "yosys -L" and other driver improvements
506 - Added support for multi-bit (array) cell ports to "write_edif"
507 - Now printing most output to stdout, not stderr
508 - Added "onehot" attribute (set by "fsm_map")
509 - Various performance improvements
510 - Vastly improved Xilinx flow
511 - Added "make unsintall"
512
513 * Equivalence checking
514 - Added equivalence checking commands:
515 equiv_make equiv_simple equiv_status
516 equiv_induct equiv_miter
517 equiv_add equiv_remove
518
519 * Block RAM support:
520 - Added "memory_bram" command
521 - Added BRAM support to Xilinx flow
522
523 * Other New Commands and Options
524 - Added "dff2dffe"
525 - Added "fsm -encfile"
526 - Added "dfflibmap -prepare"
527 - Added "write_blid -unbuf -undef -blackbox"
528 - Added "write_smt2" for writing SMT-LIBv2 files
529 - Added "test_cell -w -muxdiv"
530 - Added "select -read"
531
532
533 Yosys 0.3.0 .. Yosys 0.4
534 ------------------------
535
536 * Platform Support
537 - Added support for mxe-based cross-builds for win32
538 - Added sourcecode-export as VisualStudio project
539 - Added experimental EMCC (JavaScript) support
540
541 * Verilog Frontend
542 - Added -sv option for SystemVerilog (and automatic *.sv file support)
543 - Added support for real-valued constants and constant expressions
544 - Added support for non-standard "via_celltype" attribute on task/func
545 - Added support for non-standard "module mod_name(...);" syntax
546 - Added support for non-standard """ macro bodies
547 - Added support for array with more than one dimension
548 - Added support for $readmemh and $readmemb
549 - Added support for DPI functions
550
551 * Changes in internal cell library
552 - Added $shift and $shiftx cell types
553 - Added $alu, $lcu, $fa and $macc cell types
554 - Removed $bu0 and $safe_pmux cell types
555 - $mem/$memwr WR_EN input is now a per-data-bit enable signal
556 - Added $_NAND_ $_NOR_ $_XNOR_ $_AOI3_ $_OAI3_ $_AOI4_ $_OAI4_
557 - Renamed ports of $lut cells (from I->O to A->Y)
558 - Renamed $_INV_ to $_NOT_
559
560 * Changes for simple synthesis flows
561 - There is now a "synth" command with a recommended default script
562 - Many improvements in synthesis of arithmetic functions to gates
563 - Multipliers and adders with many operands are using carry-save adder trees
564 - Remaining adders are now implemented using Brent-Kung carry look-ahead adders
565 - Various new high-level optimizations on RTL netlist
566 - Various improvements in FSM optimization
567 - Updated ABC to hg 5b5af75f1dda (from 2014-11-07)
568
569 * Changes in internal APIs and RTLIL
570 - Added log_id() and log_cell() helper functions
571 - Added function-like cell creation helpers
572 - Added GetSize() function (like .size() but with int)
573 - Major refactoring of RTLIL::Module and related classes
574 - Major refactoring of RTLIL::SigSpec and related classes
575 - Now RTLIL::IdString is essentially an int
576 - Added macros for code coverage counters
577 - Added some Makefile magic for pretty make logs
578 - Added "kernel/yosys.h" with all the core definitions
579 - Changed a lot of code from FILE* to c++ streams
580 - Added RTLIL::Monitor API and "trace" command
581 - Added "Yosys" C++ namespace
582
583 * Changes relevant to SAT solving
584 - Added ezSAT::keep_cnf() and ezSAT::non_incremental()
585 - Added native ezSAT support for vector shift ops
586 - Updated MiniSAT to git 37dc6c67e2 (from 2013-09-25)
587
588 * New commands (or large improvements to commands)
589 - Added "synth" command with default script
590 - Added "share" (finally some real resource sharing)
591 - Added "memory_share" (reduce number of ports on memories)
592 - Added "wreduce" and "alumacc" commands
593 - Added "opt -keepdc -fine -full -fast"
594 - Added some "test_*" commands
595
596 * Various other changes
597 - Added %D and %c select operators
598 - Added support for labels in yosys scripts
599 - Added support for here-documents in yosys scripts
600 - Support "+/" prefix for files from proc_share_dir
601 - Added "autoidx" statement to ilang language
602 - Switched from "yosys-svgviewer" to "xdot"
603 - Renamed "stdcells.v" to "techmap.v"
604 - Various bug fixes and small improvements
605 - Improved welcome and bye messages
606
607
608 Yosys 0.2.0 .. Yosys 0.3.0
609 --------------------------
610
611 * Driver program and overall behavior:
612 - Added "design -push" and "design -pop"
613 - Added "tee" command for redirecting log output
614
615 * Changes in the internal cell library:
616 - Added $dlatchsr and $_DLATCHSR_???_ cell types
617
618 * Improvements in Verilog frontend:
619 - Improved support for const functions (case, always, repeat)
620 - The generate..endgenerate keywords are now optional
621 - Added support for arrays of module instances
622 - Added support for "`default_nettype" directive
623 - Added support for "`line" directive
624
625 * Other front- and back-ends:
626 - Various changes to "write_blif" options
627 - Various improvements in EDIF backend
628 - Added "vhdl2verilog" pseudo-front-end
629 - Added "verific" pseudo-front-end
630
631 * Improvements in technology mapping:
632 - Added support for recursive techmap
633 - Added CONSTMSK and CONSTVAL features to techmap
634 - Added _TECHMAP_CONNMAP_*_ feature to techmap
635 - Added _TECHMAP_REPLACE_ feature to techmap
636 - Added "connwrappers" command for wrap-extract-unwrap method
637 - Added "extract -map %<design_name>" feature
638 - Added "extract -ignore_param ..." and "extract -ignore_parameters"
639 - Added "techmap -max_iter" option
640
641 * Improvements to "eval" and "sat" framework:
642 - Now include a copy of Minisat (with build fixes applied)
643 - Switched to Minisat::SimpSolver as SAT back-end
644 - Added "sat -dump_vcd" feature
645 - Added "sat -dump_cnf" feature
646 - Added "sat -initsteps <N>" feature
647 - Added "freduce -stop <N>" feature
648 - Added "freduce -dump <prefix>" feature
649
650 * Integration with ABC:
651 - Updated ABC rev to 7600ffb9340c
652
653 * Improvements in the internal APIs:
654 - Added RTLIL::Module::add... helper methods
655 - Various build fixes for OSX (Darwin) and OpenBSD
656
657
658 Yosys 0.1.0 .. Yosys 0.2.0
659 --------------------------
660
661 * Changes to the driver program:
662 - Added "yosys -h" and "yosys -H"
663 - Added support for backslash line continuation in scripts
664 - Added support for #-comments in same line as command
665 - Added "echo" and "log" commands
666
667 * Improvements in Verilog frontend:
668 - Added support for local registers in named blocks
669 - Added support for "case" in "generate" blocks
670 - Added support for $clog2 system function
671 - Added support for basic SystemVerilog assert statements
672 - Added preprocessor support for macro arguments
673 - Added preprocessor support for `elsif statement
674 - Added "verilog_defaults" command
675 - Added read_verilog -icells option
676 - Added support for constant sizes from parameters
677 - Added "read_verilog -setattr"
678 - Added support for function returning 'integer'
679 - Added limited support for function calls in parameter values
680 - Added "read_verilog -defer" to suppress evaluation of modules with default parameters
681
682 * Other front- and back-ends:
683 - Added BTOR backend
684 - Added Liberty frontend
685
686 * Improvements in technology mapping:
687 - The "dfflibmap" command now strongly prefers solutions with
688 no inverters in clock paths
689 - The "dfflibmap" command now prefers cells with smaller area
690 - Added support for multiple -map options to techmap
691 - Added "dfflibmap" support for //-comments in liberty files
692 - Added "memory_unpack" command to revert "memory_collect"
693 - Added standard techmap rule "techmap -share_map pmux2mux.v"
694 - Added "iopadmap -bits"
695 - Added "setundef" command
696 - Added "hilomap" command
697
698 * Changes in the internal cell library:
699 - Major rewrite of simlib.v for better compatibility with other tools
700 - Added PRIORITY parameter to $memwr cells
701 - Added TRANSPARENT parameter to $memrd cells
702 - Added RD_TRANSPARENT parameter to $mem cells
703 - Added $bu0 cell (always 0-extend, even undef MSB)
704 - Added $assert cell type
705 - Added $slice and $concat cell types
706
707 * Integration with ABC:
708 - Updated ABC to hg rev 2058c8ccea68
709 - Tighter integration of ABC build with Yosys build. The make
710 targets 'make abc' and 'make install-abc' are now obsolete.
711 - Added support for passing FFs from one clock domain through ABC
712 - Now always use BLIF as exchange format with ABC
713 - Added support for "abc -script +<command_sequence>"
714 - Improved standard ABC recipe
715 - Added support for "keep" attribute to abc command
716 - Added "abc -dff / -clk / -keepff" options
717
718 * Improvements to "eval" and "sat" framework:
719 - Added support for "0" and "~0" in right-hand side -set expressions
720 - Added "eval -set-undef" and "eval -table"
721 - Added "sat -set-init" and "sat -set-init-*" for sequential problems
722 - Added undef support to SAT solver, incl. various new "sat" options
723 - Added correct support for === and !== for "eval" and "sat"
724 - Added "sat -tempinduct" (default -seq is now non-induction sequential)
725 - Added "sat -prove-asserts"
726 - Complete rewrite of the 'freduce' command
727 - Added "miter" command
728 - Added "sat -show-inputs" and "sat -show-outputs"
729 - Added "sat -ignore_unknown_cells" (now produce an error by default)
730 - Added "sat -falsify"
731 - Now "sat -verify" and "sat -falsify" can also be used without "-prove"
732 - Added "expose" command
733 - Added support for @<sel_name> to sat and eval signal expressions
734
735 * Changes in the 'make test' framework and auxiliary test tools:
736 - Added autotest.sh -p and -f options
737 - Replaced autotest.sh ISIM support with XSIM support
738 - Added test cases for SAT framework
739
740 * Added "abbreviated IDs":
741 - Now $<something>$foo can be abbreviated as $foo.
742 - Usually this last part is a unique id (from RTLIL::autoidx)
743 - This abbreviated IDs are now also used in "show" output
744
745 * Other changes to selection framework:
746 - Now */ is optional in */<mode>:<arg> expressions
747 - Added "select -assert-none" and "select -assert-any"
748 - Added support for matching modules by attribute (A:<expr>)
749 - Added "select -none"
750 - Added support for r:<expr> pattern for matching cell parameters
751 - Added support for !=, <, <=, >=, > for attribute and parameter matching
752 - Added support for %s for selecting sub-modules
753 - Added support for %m for expanding selections to whole modules
754 - Added support for i:*, o:* and x:* pattern for selecting module ports
755 - Added support for s:<expr> pattern for matching wire width
756 - Added support for %a operation to select wire aliases
757
758 * Various other changes to commands and options:
759 - The "ls" command now supports wildcards
760 - Added "show -pause" and "show -format dot"
761 - Added "show -color" support for cells
762 - Added "show -label" and "show -notitle"
763 - Added "dump -m" and "dump -n"
764 - Added "history" command
765 - Added "rename -hide"
766 - Added "connect" command
767 - Added "splitnets -driver"
768 - Added "opt_const -mux_undef"
769 - Added "opt_const -mux_bool"
770 - Added "opt_const -undriven"
771 - Added "opt -mux_undef -mux_bool -undriven -purge"
772 - Added "hierarchy -libdir"
773 - Added "hierarchy -purge_lib" (by default now do not remove lib cells)
774 - Added "delete" command
775 - Added "dump -append"
776 - Added "setattr" and "setparam" commands
777 - Added "design -stash/-copy-from/-copy-to"
778 - Added "copy" command
779 - Added "splice" command
780