Bump version
[yosys.git] / CHANGELOG
1
2 List of major changes and improvements between releases
3 =======================================================
4
5 Yosys 0.12 .. Yosys 0.12-dev
6 --------------------------
7
8 Yosys 0.11 .. Yosys 0.12
9 --------------------------
10
11 * Various
12 - Added iopadmap native support for negative-polarity output enable
13 - ABC update
14
15 * SystemVerilog
16 - Support parameters using struct as a wiretype
17 - Fixed regression preventing the use array querying functions in case
18 expressions and case item expressions
19
20 * New commands and options
21 - Added "-genlib" option to "abc" pass
22 - Added "sta" very crude static timing analysis pass
23
24 * Verific support
25 - Fixed memory block size in import
26
27 * New back-ends
28 - Added support for GateMate FPGA from Cologne Chip AG
29
30 * Intel ALM support
31 - Added preliminary Arria V support
32
33
34 Yosys 0.10 .. Yosys 0.11
35 --------------------------
36
37 * Various
38 - Added $aldff and $aldffe (flip-flops with async load) cells
39
40 * SystemVerilog
41 - Fixed an issue which prevented writing directly to a memory word via a
42 connection to an output port
43 - Fixed an issue which prevented unbased unsized literals (e.g., `'1`) from
44 filling the width of a cell input
45 - Fixed an issue where connecting a slice covering the entirety of a signed
46 signal to a cell input would cause a failed assertion
47
48 * Verific support
49 - Importer support for {PRIM,WIDE_OPER}_DFF
50 - Importer support for PRIM_BUFIF1
51 - Option to use Verific without VHDL support
52 - Importer support for {PRIM,WIDE_OPER}_DLATCH{,RS}
53 - Added -cfg option for getting/setting Verific runtime flags
54
55 Yosys 0.9 .. Yosys 0.10
56 --------------------------
57
58 * Various
59 - Added automatic gzip decompression for frontends
60 - Added $_NMUX_ cell type
61 - Added automatic gzip compression (based on filename extension) for backends
62 - Improve attribute and parameter encoding in JSON to avoid ambiguities between
63 bit vectors and strings containing [01xz]*
64 - Improvements in pmgen: subpattern and recursive matches
65 - Support explicit FIRRTL properties
66 - Improvements in pmgen: slices, choices, define, generate
67 - Added "_TECHMAP_WIREINIT_*_" parameter and "_TECHMAP_REMOVEINIT_*_" wire for "techmap" pass
68 - Added +/mul2dsp.v for decomposing wide multipliers to custom-sized ones
69 - Added new frontend: rpc
70 - Added --version and -version as aliases for -V
71 - Improve yosys-smtbmc "solver not found" handling
72 - Improved support of $readmem[hb] Memory Content File inclusion
73 - Added CXXRTL backend
74 - Use YosysHQ/abc instead of upstream berkeley-abc/abc
75 - Added WASI platform support.
76 - Added extmodule support to firrtl backend
77 - Added $divfloor and $modfloor cells
78 - Added $adffe, $dffsre, $sdff, $sdffe, $sdffce, $adlatch cells
79 - Added "_TECHMAP_CELLNAME_" parameter for "techmap" pass
80 - Added firrtl backend support for generic parameters in blackbox components
81 - Added $meminit_v2 cells (with support for write mask)
82 - Added $mem_v2, $memrd_v2, $memwr_v2, with the following features:
83 - write priority masks, per write/write port pair
84 - transparency and undefined collision behavior masks, per read/write port pair
85 - read port reset and initialization
86 - wide ports (accessing a naturally aligned power-of-two number of memory cells)
87
88 * New commands and options
89 - Added "write_xaiger" backend
90 - Added "read_xaiger"
91 - Added "abc9" pass for timing-aware techmapping (experimental, FPGA only)
92 - Added "synth -abc9" (experimental)
93 - Added "script -scriptwire"
94 - Added "clkbufmap" pass
95 - Added "extractinv" pass and "invertible_pin" attribute
96 - Added "proc_clean -quiet"
97 - Added "proc_prune" pass
98 - Added "stat -tech cmos"
99 - Added "opt_share" pass, run as part of "opt -full"
100 - Added "-match-init" option to "dff2dffs" pass
101 - Added "equiv_opt -multiclock"
102 - Added "techmap_autopurge" support to techmap
103 - Added "add -mod <modname[s]>"
104 - Added "paramap" pass
105 - Added "portlist" command
106 - Added "check -mapped"
107 - Added "check -allow-tbuf"
108 - Added "autoname" pass
109 - Added "write_verilog -extmem"
110 - Added "opt_mem" pass
111 - Added "scratchpad" pass
112 - Added "fminit" pass
113 - Added "opt_lut_ins" pass
114 - Added "logger" pass
115 - Added "show -nobg"
116 - Added "exec" command
117 - Added "design -delete"
118 - Added "design -push-copy"
119 - Added "qbfsat" command
120 - Added "select -unset"
121 - Added "dfflegalize" pass
122 - Removed "opt_expr -clkinv" option, made it the default
123 - Added "proc -nomux
124 - Merged "dffsr2dff", "opt_rmdff", "dff2dffe", "dff2dffs", "peepopt.dffmux" passes into a new "opt_dff" pass
125
126 * SystemVerilog
127 - Added checking of always block types (always_comb, always_latch and always_ff)
128 - Added support for wildcard port connections (.*)
129 - Added support for enum typedefs
130 - Added support for structs and packed unions.
131 - Allow constant function calls in for loops and generate if and case
132 - Added support for static cast
133 - Added support for logic typed parameters
134 - Fixed generate scoping issues
135 - Added support for real-valued parameters
136 - Allow localparams in constant functions
137 - Module name scope support
138 - Support recursive functions using ternary expressions
139 - Extended support for integer types
140 - Support for parameters without default values
141 - Allow globals in one file to depend on globals in another
142 - Added support for: *=, /=, %=, <<=, >>=, <<<=, >>>=
143 - Added support for parsing the 'bind' construct
144 - support declaration in procedural for initialization
145 - support declaration in generate for initialization
146 - Support wand and wor of data types
147
148 * Verific support
149 - Added "verific -L"
150 - Add Verific SVA support for "always" properties
151 - Add Verific support for SVA nexttime properties
152 - Improve handling of verific primitives in "verific -import -V" mode
153 - Import attributes for wires
154 - Support VHDL enums
155 - Added support for command files
156
157 * New back-ends
158 - Added initial EFINIX support
159 - Added Intel ALM: alternative synthesis for Intel FPGAs
160 - Added initial Nexus support
161 - Added initial MachXO2 support
162 - Added initial QuickLogic PolarPro 3 support
163
164 * ECP5 support
165 - Renamed labels/options in synth_ecp5 (e.g. dram -> map_lutram; -nodram -> -nolutram)
166 - Added "synth_ecp5 -abc9" (experimental)
167 - Added "synth_ecp5 -nowidelut"
168 - "synth_ecp5" to now infer DSP blocks (-nodsp to disable, experimental)
169
170 * iCE40 support
171 - Added "synth_ice40 -abc9" (experimental)
172 - Added "synth_ice40 -device"
173 - Renamed labels/options in synth_ice40 (e.g. dram -> map_lutram; -nodram -> -nolutram)
174 - Added "ice40_wrapcarry" to encapsulate SB_LUT+SB_CARRY pairs for techmapping
175 - Removed "ice40_unlut"
176 - Added "ice40_dsp" for Lattice iCE40 DSP packing
177 - "synth_ice40 -dsp" to infer DSP blocks
178
179 * Xilinx support
180 - Added "synth_xilinx -abc9" (experimental)
181 - Added "synth_xilinx -nocarry"
182 - Added "synth_xilinx -nowidelut"
183 - "synth_xilinx" to now infer wide multiplexers (-widemux <min> to enable)
184 - Renamed labels/options in synth_xilinx (e.g. dram -> map_lutram; -nodram -> -nolutram)
185 - Added "synth_xilinx -family xc6s" for Spartan 6 support (experimental)
186 - Added "synth_xilinx -ise" (experimental)
187 - Added "synth_xilinx -iopad"
188 - "synth_xilinx" now automatically inserts clock buffers (add -noclkbuf to disable)
189 - Added "xilinx_srl" for Xilinx shift register extraction
190 - Removed "shregmap -tech xilinx" (superseded by "xilinx_srl")
191 - Added "xilinx_dsp" for Xilinx DSP packing
192 - "synth_xilinx" to now infer DSP blocks (-nodsp to disable)
193 - Added latch support to synth_xilinx
194 - Added support for flip-flops with synchronous reset to synth_xilinx
195 - Added support for flip-flops with reset and enable to synth_xilinx
196 - Added "xilinx_dffopt" pass
197 - Added "synth_xilinx -dff"
198
199 * Intel support
200 - Renamed labels in synth_intel (e.g. bram -> map_bram)
201 - synth_intel: cyclone10 -> cyclone10lp, a10gx -> arria10gx
202 - Added "intel_alm -abc9" (experimental)
203
204 * CoolRunner2 support
205 - Separate and improve buffer cell insertion pass
206 - Use extract_counter to optimize counters
207
208 Yosys 0.8 .. Yosys 0.9
209 ----------------------
210
211 * Various
212 - Many bugfixes and small improvements
213 - Added support for SystemVerilog interfaces and modports
214 - Added "write_edif -attrprop"
215 - Added "opt_lut" pass
216 - Added "gate2lut.v" techmap rule
217 - Added "rename -src"
218 - Added "equiv_opt" pass
219 - Added "flowmap" LUT mapping pass
220 - Added "rename -wire" to rename cells based on the wires they drive
221 - Added "bugpoint" for creating minimised testcases
222 - Added "write_edif -gndvccy"
223 - "write_verilog" to escape Verilog keywords
224 - Fixed sign handling of real constants
225 - "write_verilog" to write initial statement for initial flop state
226 - Added pmgen pattern matcher generator
227 - Fixed opt_rmdff handling of $_DFFSR_???_ and $_DLATCHSR_???_
228 - Added "setundef -params" to replace undefined cell parameters
229 - Renamed "yosys -D" to "yosys -U", added "yosys -D" to set Verilog defines
230 - Fixed handling of defparam when default_nettype is none
231 - Fixed "wreduce" flipflop handling
232 - Fixed FIRRTL to Verilog process instance subfield assignment
233 - Added "write_verilog -siminit"
234 - Several fixes and improvements for mem2reg memories
235 - Fixed handling of task output ports in clocked always blocks
236 - Improved handling of and-with-1 and or-with-0 in "opt_expr"
237 - Added "read_aiger" frontend
238 - Added "mutate" pass
239 - Added "hdlname" attribute
240 - Added "rename -output"
241 - Added "read_ilang -lib"
242 - Improved "proc" full_case detection and handling
243 - Added "whitebox" and "lib_whitebox" attributes
244 - Added "read_verilog -nowb", "flatten -wb" and "wbflip"
245 - Added Python bindings and support for Python plug-ins
246 - Added "pmux2shiftx"
247 - Added log_debug framework for reduced default verbosity
248 - Improved "opt_expr" and "opt_clean" handling of (partially) undriven and/or unused wires
249 - Added "peepopt" peephole optimisation pass using pmgen
250 - Added approximate support for SystemVerilog "var" keyword
251 - Added parsing of "specify" blocks into $specrule and $specify[23]
252 - Added support for attributes on parameters and localparams
253 - Added support for parsing attributes on port connections
254 - Added "wreduce -keepdc"
255 - Added support for optimising $dffe and $_DFFE_* cells in "opt_rmdff"
256 - Added Verilog wand/wor wire type support
257 - Added support for elaboration system tasks
258 - Added "muxcover -mux{4,8,16}=<cost>"
259 - Added "muxcover -dmux=<cost>"
260 - Added "muxcover -nopartial"
261 - Added "muxpack" pass
262 - Added "pmux2shiftx -norange"
263 - Added support for "~" in filename parsing
264 - Added "read_verilog -pwires" feature to turn parameters into wires
265 - Fixed sign extension of unsized constants with 'bx and 'bz MSB
266 - Fixed genvar to be a signed type
267 - Added support for attributes on case rules
268 - Added "upto" and "offset" to JSON frontend and backend
269 - Several liberty file parser improvements
270 - Fixed handling of more complex BRAM patterns
271 - Add "write_aiger -I -O -B"
272
273 * Formal Verification
274 - Added $changed support to read_verilog
275 - Added "read_verilog -noassert -noassume -assert-assumes"
276 - Added btor ops for $mul, $div, $mod and $concat
277 - Added yosys-smtbmc support for btor witnesses
278 - Added "supercover" pass
279 - Fixed $global_clock handling vs autowire
280 - Added $dffsr support to "async2sync"
281 - Added "fmcombine" pass
282 - Added memory init support in "write_btor"
283 - Added "cutpoint" pass
284 - Changed "ne" to "neq" in btor2 output
285 - Added support for SVA "final" keyword
286 - Added "fmcombine -initeq -anyeq"
287 - Added timescale and generated-by header to yosys-smtbmc vcd output
288 - Improved BTOR2 handling of undriven wires
289
290 * Verific support
291 - Enabled Verific flags vhdl_support_variable_slice and veri_elaborate_top_level_modules_having_interface_ports
292 - Improved support for asymmetric memories
293 - Added "verific -chparam"
294 - Fixed "verific -extnets" for more complex situations
295 - Added "read -verific" and "read -noverific"
296 - Added "hierarchy -chparam"
297
298 * New back-ends
299 - Added initial Anlogic support
300 - Added initial SmartFusion2 and IGLOO2 support
301
302 * ECP5 support
303 - Added "synth_ecp5 -nowidelut"
304 - Added BRAM inference support to "synth_ecp5"
305 - Added support for transforming Diamond IO and flipflop primitives
306
307 * iCE40 support
308 - Added "ice40_unlut" pass
309 - Added "synth_ice40 -relut"
310 - Added "synth_ice40 -noabc"
311 - Added "synth_ice40 -dffe_min_ce_use"
312 - Added DSP inference support using pmgen
313 - Added support for initialising BRAM primitives from a file
314 - Added iCE40 Ultra RGB LED driver cells
315
316 * Xilinx support
317 - Use "write_edif -pvector bra" for Xilinx EDIF files
318 - Fixes for VPR place and route support with "synth_xilinx"
319 - Added more cell simulation models
320 - Added "synth_xilinx -family"
321 - Added "stat -tech xilinx" to estimate logic cell usage
322 - Added "synth_xilinx -nocarry"
323 - Added "synth_xilinx -nowidelut"
324 - "synth_xilinx" to now infer hard shift registers (-nosrl to disable)
325 - Added support for mapping RAM32X1D
326
327 Yosys 0.7 .. Yosys 0.8
328 ----------------------
329
330 * Various
331 - Many bugfixes and small improvements
332 - Strip debug symbols from installed binary
333 - Replace -ignore_redef with -[no]overwrite in front-ends
334 - Added write_verilog hex dump support, add -nohex option
335 - Added "write_verilog -decimal"
336 - Added "scc -set_attr"
337 - Added "verilog_defines" command
338 - Remember defines from one read_verilog to next
339 - Added support for hierarchical defparam
340 - Added FIRRTL back-end
341 - Improved ABC default scripts
342 - Added "design -reset-vlog"
343 - Added "yosys -W regex", "yosys -w regex", and "yosys -e regex"
344 - Added Verilog $rtoi and $itor support
345 - Added "check -initdrv"
346 - Added "read_blif -wideports"
347 - Added support for SystemVerilog "++" and "--" operators
348 - Added support for SystemVerilog unique, unique0, and priority case
349 - Added "write_edif" options for edif "flavors"
350 - Added support for resetall compiler directive
351 - Added simple C beck-end (bitwise combinatorical only atm)
352 - Added $_ANDNOT_ and $_ORNOT_ cell types
353 - Added cell library aliases to "abc -g"
354 - Added "setundef -anyseq"
355 - Added "chtype" command
356 - Added "design -import"
357 - Added "write_table" command
358 - Added "read_json" command
359 - Added "sim" command
360 - Added "extract_fa" and "extract_reduce" commands
361 - Added "extract_counter" command
362 - Added "opt_demorgan" command
363 - Added support for $size and $bits SystemVerilog functions
364 - Added "blackbox" command
365 - Added "ltp" command
366 - Added support for editline as replacement for readline
367 - Added warnings for driver-driver conflicts between FFs (and other cells) and constants
368 - Added "yosys -E" for creating Makefile dependencies files
369 - Added "synth -noshare"
370 - Added "memory_nordff"
371 - Added "setundef -undef -expose -anyconst"
372 - Added "expose -input"
373 - Added specify/specparam parser support (simply ignore them)
374 - Added "write_blif -inames -iattr"
375 - Added "hierarchy -simcheck"
376 - Added an option to statically link abc into yosys
377 - Added protobuf back-end
378 - Added BLIF parsing support for .conn and .cname
379 - Added read_verilog error checking for reg/wire/logic misuse
380 - Added "make coverage" and ENABLE_GCOV build option
381
382 * Changes in Yosys APIs
383 - Added ConstEval defaultval feature
384 - Added {get,set}_src_attribute() methods on RTLIL::AttrObject
385 - Added SigSpec::is_fully_ones() and Const::is_fully_ones()
386 - Added log_file_warning() and log_file_error() functions
387
388 * Formal Verification
389 - Added "write_aiger"
390 - Added "yosys-smtbmc --aig"
391 - Added "always <positive_int>" to .smtc format
392 - Added $cover cell type and support for cover properties
393 - Added $fair/$live cell type and support for liveness properties
394 - Added smtbmc support for memory vcd dumping
395 - Added "chformal" command
396 - Added "write_smt2 -stbv" and "write_smt2 -stdt"
397 - Fix equiv_simple, old behavior now available with "equiv_simple -short"
398 - Change to Yices2 as default SMT solver (it is GPL now)
399 - Added "yosys-smtbmc --presat" (now default in SymbiYosys)
400 - Added "yosys-smtbmc --smtc-init --smtc-top --noinit"
401 - Added a brand new "write_btor" command for BTOR2
402 - Added clk2fflogic memory support and other improvements
403 - Added "async memory write" support to write_smt2
404 - Simulate clock toggling in yosys-smtbmc VCD output
405 - Added $allseq/$allconst cells for EA-solving
406 - Make -nordff the default in "prep"
407 - Added (* gclk *) attribute
408 - Added "async2sync" pass for single-clock designs with async resets
409
410 * Verific support
411 - Many improvements in Verific front-end
412 - Added proper handling of concurent SVA properties
413 - Map "const" and "rand const" to $anyseq/$anyconst
414 - Added "verific -import -flatten" and "verific -import -extnets"
415 - Added "verific -vlog-incdir -vlog-define -vlog-libdir"
416 - Remove PSL support (because PSL has been removed in upstream Verific)
417 - Improve integration with "hierarchy" command design elaboration
418 - Added YOSYS_NOVERIFIC for running non-verific test cases with verific bin
419 - Added simpilied "read" command that automatically uses verific if available
420 - Added "verific -set-<severity> <msg_id>.."
421 - Added "verific -work <libname>"
422
423 * New back-ends
424 - Added initial Coolrunner-II support
425 - Added initial eASIC support
426 - Added initial ECP5 support
427
428 * GreenPAK Support
429 - Added support for GP_DLATCH, GP_SPI, GP_DCMx, GP_COUNTx, etc.
430
431 * iCE40 Support
432 - Add "synth_ice40 -vpr"
433 - Add "synth_ice40 -nodffe"
434 - Add "synth_ice40 -json"
435 - Add Support for UltraPlus cells
436
437 * MAX10 and Cyclone IV Support
438 - Added initial version of metacommand "synth_intel".
439 - Improved write_verilog command to produce VQM netlist for Quartus Prime.
440 - Added support for MAX10 FPGA family synthesis.
441 - Added support for Cyclone IV family synthesis.
442 - Added example of implementation for DE2i-150 board.
443 - Added example of implementation for MAX10 development kit.
444 - Added LFSR example from Asic World.
445 - Added "dffinit -highlow" for mapping to Intel primitives
446
447
448 Yosys 0.6 .. Yosys 0.7
449 ----------------------
450
451 * Various
452 - Added "yosys -D" feature
453 - Added support for installed plugins in $(DATDIR)/plugins/
454 - Renamed opt_const to opt_expr
455 - Renamed opt_share to opt_merge
456 - Added "prep -flatten" and "synth -flatten"
457 - Added "prep -auto-top" and "synth -auto-top"
458 - Using "mfs" and "lutpack" in ABC lut mapping
459 - Support for abstract modules in chparam
460 - Cleanup abstract modules at end of "hierarchy -top"
461 - Added tristate buffer support to iopadmap
462 - Added opt_expr support for div/mod by power-of-two
463 - Added "select -assert-min <N> -assert-max <N>"
464 - Added "attrmvcp" pass
465 - Added "attrmap" command
466 - Added "tee +INT -INT"
467 - Added "zinit" pass
468 - Added "setparam -type"
469 - Added "shregmap" pass
470 - Added "setundef -init"
471 - Added "nlutmap -assert"
472 - Added $sop cell type and "abc -sop -I <num> -P <num>"
473 - Added "dc2" to default ABC scripts
474 - Added "deminout"
475 - Added "insbuf" command
476 - Added "prep -nomem"
477 - Added "opt_rmdff -keepdc"
478 - Added "prep -nokeepdc"
479 - Added initial version of "synth_gowin"
480 - Added "fsm_expand -full"
481 - Added support for fsm_encoding="user"
482 - Many improvements in GreenPAK4 support
483 - Added black box modules for all Xilinx 7-series lib cells
484 - Added synth_ice40 support for latches via logic loops
485 - Fixed ice40_opt lut unmapping, added "ice40_opt -unlut"
486
487 * Build System
488 - Added ABCEXTERNAL and ABCURL make variables
489 - Added BINDIR, LIBDIR, and DATDIR make variables
490 - Added PKG_CONFIG make variable
491 - Added SEED make variable (for "make test")
492 - Added YOSYS_VER_STR make variable
493 - Updated min GCC requirement to GCC 4.8
494 - Updated required Bison version to Bison 3.x
495
496 * Internal APIs
497 - Added ast.h to exported headers
498 - Added ScriptPass helper class for script-like passes
499 - Added CellEdgesDatabase API
500
501 * Front-ends and Back-ends
502 - Added filename glob support to all front-ends
503 - Added avail (black-box) module params to ilang format
504 - Added $display %m support
505 - Added support for $stop Verilog system task
506 - Added support for SystemVerilog packages
507 - Fixed procedural assignments to non-unique lvalues, e.g. {y,y} = {a,b}
508 - Added support for "active high" and "active low" latches in read_blif and write_blif
509 - Use init value "2" for all uninitialized FFs in BLIF back-end
510 - Added "read_blif -sop"
511 - Added "write_blif -noalias"
512 - Added various write_blif options for VTR support
513 - write_json: also write module attributes.
514 - Added "write_verilog -nodec -nostr -defparam"
515 - Added "read_verilog -norestrict -assume-asserts"
516 - Added support for bus interfaces to "read_liberty -lib"
517 - Added liberty parser support for types within cell decls
518 - Added "write_verilog -renameprefix -v"
519 - Added "write_edif -nogndvcc"
520
521 * Formal Verification
522 - Support for hierarchical designs in smt2 back-end
523 - Yosys-smtbmc: Support for hierarchical VCD dumping
524 - Added $initstate cell type and vlog function
525 - Added $anyconst and $anyseq cell types and vlog functions
526 - Added printing of code loc of failed asserts to yosys-smtbmc
527 - Added memory_memx pass, "memory -memx", and "prep -memx"
528 - Added "proc_mux -ifx"
529 - Added "yosys-smtbmc -g"
530 - Deprecated "write_smt2 -regs" (by default on now)
531 - Made "write_smt2 -bv -mem" default, added "write_smt2 -nobv -nomem"
532 - Added support for memories to smtio.py
533 - Added "yosys-smtbmc --dump-vlogtb"
534 - Added "yosys-smtbmc --smtc --dump-smtc"
535 - Added "yosys-smtbmc --dump-all"
536 - Added assertpmux command
537 - Added "yosys-smtbmc --unroll"
538 - Added $past, $stable, $rose, $fell SVA functions
539 - Added "yosys-smtbmc --noinfo and --dummy"
540 - Added "yosys-smtbmc --noincr"
541 - Added "yosys-smtbmc --cex <filename>"
542 - Added $ff and $_FF_ cell types
543 - Added $global_clock verilog syntax support for creating $ff cells
544 - Added clk2fflogic
545
546
547 Yosys 0.5 .. Yosys 0.6
548 ----------------------
549
550 * Various
551 - Added Contributor Covenant Code of Conduct
552 - Various improvements in dict<> and pool<>
553 - Added hashlib::mfp and refactored SigMap
554 - Improved support for reals as module parameters
555 - Various improvements in SMT2 back-end
556 - Added "keep_hierarchy" attribute
557 - Verilog front-end: define `BLACKBOX in -lib mode
558 - Added API for converting internal cells to AIGs
559 - Added ENABLE_LIBYOSYS Makefile option
560 - Removed "techmap -share_map" (use "-map +/filename" instead)
561 - Switched all Python scripts to Python 3
562 - Added support for $display()/$write() and $finish() to Verilog front-end
563 - Added "yosys-smtbmc" formal verification flow
564 - Added options for clang sanitizers to Makefile
565
566 * New commands and options
567 - Added "scc -expect <N> -nofeedback"
568 - Added "proc_dlatch"
569 - Added "check"
570 - Added "select %xe %cie %coe %M %C %R"
571 - Added "sat -dump_json" (WaveJSON format)
572 - Added "sat -tempinduct-baseonly -tempinduct-inductonly"
573 - Added "sat -stepsize" and "sat -tempinduct-step"
574 - Added "sat -show-regs -show-public -show-all"
575 - Added "write_json" (Native Yosys JSON format)
576 - Added "write_blif -attr"
577 - Added "dffinit"
578 - Added "chparam"
579 - Added "muxcover"
580 - Added "pmuxtree"
581 - Added memory_bram "make_outreg" feature
582 - Added "splice -wires"
583 - Added "dff2dffe -direct-match"
584 - Added simplemap $lut support
585 - Added "read_blif"
586 - Added "opt_share -share_all"
587 - Added "aigmap"
588 - Added "write_smt2 -mem -regs -wires"
589 - Added "memory -nordff"
590 - Added "write_smv"
591 - Added "synth -nordff -noalumacc"
592 - Added "rename -top new_name"
593 - Added "opt_const -clkinv"
594 - Added "synth -nofsm"
595 - Added "miter -assert"
596 - Added "read_verilog -noautowire"
597 - Added "read_verilog -nodpi"
598 - Added "tribuf"
599 - Added "lut2mux"
600 - Added "nlutmap"
601 - Added "qwp"
602 - Added "test_cell -noeval"
603 - Added "edgetypes"
604 - Added "equiv_struct"
605 - Added "equiv_purge"
606 - Added "equiv_mark"
607 - Added "equiv_add -try -cell"
608 - Added "singleton"
609 - Added "abc -g -luts"
610 - Added "torder"
611 - Added "write_blif -cname"
612 - Added "submod -copy"
613 - Added "dffsr2dff"
614 - Added "stat -liberty"
615
616 * Synthesis metacommands
617 - Various improvements in synth_xilinx
618 - Added synth_ice40 and synth_greenpak4
619 - Added "prep" metacommand for "synthesis lite"
620
621 * Cell library changes
622 - Added cell types to "help" system
623 - Added $meminit cell type
624 - Added $assume cell type
625 - Added $_MUX4_, $_MUX8_, and $_MUX16_ cells
626 - Added $tribuf and $_TBUF_ cell types
627 - Added read-enable to memory model
628
629 * YosysJS
630 - Various improvements in emscripten build
631 - Added alternative webworker-based JS API
632 - Added a few example applications
633
634
635 Yosys 0.4 .. Yosys 0.5
636 ----------------------
637
638 * API changes
639 - Added log_warning()
640 - Added eval_select_args() and eval_select_op()
641 - Added cell->known(), cell->input(portname), cell->output(portname)
642 - Skip blackbox modules in design->selected_modules()
643 - Replaced std::map<> and std::set<> with dict<> and pool<>
644 - New SigSpec::extend() is what used to be SigSpec::extend_u0()
645 - Added YS_OVERRIDE, YS_FINAL, YS_ATTRIBUTE, YS_NORETURN
646
647 * Cell library changes
648 - Added flip-flops with enable ($dffe etc.)
649 - Added $equiv cells for equivalence checking framework
650
651 * Various
652 - Updated ABC to hg rev 61ad5f908c03
653 - Added clock domain partitioning to ABC pass
654 - Improved plugin building (see "yosys-config --build")
655 - Added ENABLE_NDEBUG Makefile flag for high-performance builds
656 - Added "yosys -d", "yosys -L" and other driver improvements
657 - Added support for multi-bit (array) cell ports to "write_edif"
658 - Now printing most output to stdout, not stderr
659 - Added "onehot" attribute (set by "fsm_map")
660 - Various performance improvements
661 - Vastly improved Xilinx flow
662 - Added "make unsintall"
663
664 * Equivalence checking
665 - Added equivalence checking commands:
666 equiv_make equiv_simple equiv_status
667 equiv_induct equiv_miter
668 equiv_add equiv_remove
669
670 * Block RAM support:
671 - Added "memory_bram" command
672 - Added BRAM support to Xilinx flow
673
674 * Other New Commands and Options
675 - Added "dff2dffe"
676 - Added "fsm -encfile"
677 - Added "dfflibmap -prepare"
678 - Added "write_blid -unbuf -undef -blackbox"
679 - Added "write_smt2" for writing SMT-LIBv2 files
680 - Added "test_cell -w -muxdiv"
681 - Added "select -read"
682
683
684 Yosys 0.3.0 .. Yosys 0.4
685 ------------------------
686
687 * Platform Support
688 - Added support for mxe-based cross-builds for win32
689 - Added sourcecode-export as VisualStudio project
690 - Added experimental EMCC (JavaScript) support
691
692 * Verilog Frontend
693 - Added -sv option for SystemVerilog (and automatic *.sv file support)
694 - Added support for real-valued constants and constant expressions
695 - Added support for non-standard "via_celltype" attribute on task/func
696 - Added support for non-standard "module mod_name(...);" syntax
697 - Added support for non-standard """ macro bodies
698 - Added support for array with more than one dimension
699 - Added support for $readmemh and $readmemb
700 - Added support for DPI functions
701
702 * Changes in internal cell library
703 - Added $shift and $shiftx cell types
704 - Added $alu, $lcu, $fa and $macc cell types
705 - Removed $bu0 and $safe_pmux cell types
706 - $mem/$memwr WR_EN input is now a per-data-bit enable signal
707 - Added $_NAND_ $_NOR_ $_XNOR_ $_AOI3_ $_OAI3_ $_AOI4_ $_OAI4_
708 - Renamed ports of $lut cells (from I->O to A->Y)
709 - Renamed $_INV_ to $_NOT_
710
711 * Changes for simple synthesis flows
712 - There is now a "synth" command with a recommended default script
713 - Many improvements in synthesis of arithmetic functions to gates
714 - Multipliers and adders with many operands are using carry-save adder trees
715 - Remaining adders are now implemented using Brent-Kung carry look-ahead adders
716 - Various new high-level optimizations on RTL netlist
717 - Various improvements in FSM optimization
718 - Updated ABC to hg 5b5af75f1dda (from 2014-11-07)
719
720 * Changes in internal APIs and RTLIL
721 - Added log_id() and log_cell() helper functions
722 - Added function-like cell creation helpers
723 - Added GetSize() function (like .size() but with int)
724 - Major refactoring of RTLIL::Module and related classes
725 - Major refactoring of RTLIL::SigSpec and related classes
726 - Now RTLIL::IdString is essentially an int
727 - Added macros for code coverage counters
728 - Added some Makefile magic for pretty make logs
729 - Added "kernel/yosys.h" with all the core definitions
730 - Changed a lot of code from FILE* to c++ streams
731 - Added RTLIL::Monitor API and "trace" command
732 - Added "Yosys" C++ namespace
733
734 * Changes relevant to SAT solving
735 - Added ezSAT::keep_cnf() and ezSAT::non_incremental()
736 - Added native ezSAT support for vector shift ops
737 - Updated MiniSAT to git 37dc6c67e2 (from 2013-09-25)
738
739 * New commands (or large improvements to commands)
740 - Added "synth" command with default script
741 - Added "share" (finally some real resource sharing)
742 - Added "memory_share" (reduce number of ports on memories)
743 - Added "wreduce" and "alumacc" commands
744 - Added "opt -keepdc -fine -full -fast"
745 - Added some "test_*" commands
746
747 * Various other changes
748 - Added %D and %c select operators
749 - Added support for labels in yosys scripts
750 - Added support for here-documents in yosys scripts
751 - Support "+/" prefix for files from proc_share_dir
752 - Added "autoidx" statement to ilang language
753 - Switched from "yosys-svgviewer" to "xdot"
754 - Renamed "stdcells.v" to "techmap.v"
755 - Various bug fixes and small improvements
756 - Improved welcome and bye messages
757
758
759 Yosys 0.2.0 .. Yosys 0.3.0
760 --------------------------
761
762 * Driver program and overall behavior:
763 - Added "design -push" and "design -pop"
764 - Added "tee" command for redirecting log output
765
766 * Changes in the internal cell library:
767 - Added $dlatchsr and $_DLATCHSR_???_ cell types
768
769 * Improvements in Verilog frontend:
770 - Improved support for const functions (case, always, repeat)
771 - The generate..endgenerate keywords are now optional
772 - Added support for arrays of module instances
773 - Added support for "`default_nettype" directive
774 - Added support for "`line" directive
775
776 * Other front- and back-ends:
777 - Various changes to "write_blif" options
778 - Various improvements in EDIF backend
779 - Added "vhdl2verilog" pseudo-front-end
780 - Added "verific" pseudo-front-end
781
782 * Improvements in technology mapping:
783 - Added support for recursive techmap
784 - Added CONSTMSK and CONSTVAL features to techmap
785 - Added _TECHMAP_CONNMAP_*_ feature to techmap
786 - Added _TECHMAP_REPLACE_ feature to techmap
787 - Added "connwrappers" command for wrap-extract-unwrap method
788 - Added "extract -map %<design_name>" feature
789 - Added "extract -ignore_param ..." and "extract -ignore_parameters"
790 - Added "techmap -max_iter" option
791
792 * Improvements to "eval" and "sat" framework:
793 - Now include a copy of Minisat (with build fixes applied)
794 - Switched to Minisat::SimpSolver as SAT back-end
795 - Added "sat -dump_vcd" feature
796 - Added "sat -dump_cnf" feature
797 - Added "sat -initsteps <N>" feature
798 - Added "freduce -stop <N>" feature
799 - Added "freduce -dump <prefix>" feature
800
801 * Integration with ABC:
802 - Updated ABC rev to 7600ffb9340c
803
804 * Improvements in the internal APIs:
805 - Added RTLIL::Module::add... helper methods
806 - Various build fixes for OSX (Darwin) and OpenBSD
807
808
809 Yosys 0.1.0 .. Yosys 0.2.0
810 --------------------------
811
812 * Changes to the driver program:
813 - Added "yosys -h" and "yosys -H"
814 - Added support for backslash line continuation in scripts
815 - Added support for #-comments in same line as command
816 - Added "echo" and "log" commands
817
818 * Improvements in Verilog frontend:
819 - Added support for local registers in named blocks
820 - Added support for "case" in "generate" blocks
821 - Added support for $clog2 system function
822 - Added support for basic SystemVerilog assert statements
823 - Added preprocessor support for macro arguments
824 - Added preprocessor support for `elsif statement
825 - Added "verilog_defaults" command
826 - Added read_verilog -icells option
827 - Added support for constant sizes from parameters
828 - Added "read_verilog -setattr"
829 - Added support for function returning 'integer'
830 - Added limited support for function calls in parameter values
831 - Added "read_verilog -defer" to suppress evaluation of modules with default parameters
832
833 * Other front- and back-ends:
834 - Added BTOR backend
835 - Added Liberty frontend
836
837 * Improvements in technology mapping:
838 - The "dfflibmap" command now strongly prefers solutions with
839 no inverters in clock paths
840 - The "dfflibmap" command now prefers cells with smaller area
841 - Added support for multiple -map options to techmap
842 - Added "dfflibmap" support for //-comments in liberty files
843 - Added "memory_unpack" command to revert "memory_collect"
844 - Added standard techmap rule "techmap -share_map pmux2mux.v"
845 - Added "iopadmap -bits"
846 - Added "setundef" command
847 - Added "hilomap" command
848
849 * Changes in the internal cell library:
850 - Major rewrite of simlib.v for better compatibility with other tools
851 - Added PRIORITY parameter to $memwr cells
852 - Added TRANSPARENT parameter to $memrd cells
853 - Added RD_TRANSPARENT parameter to $mem cells
854 - Added $bu0 cell (always 0-extend, even undef MSB)
855 - Added $assert cell type
856 - Added $slice and $concat cell types
857
858 * Integration with ABC:
859 - Updated ABC to hg rev 2058c8ccea68
860 - Tighter integration of ABC build with Yosys build. The make
861 targets 'make abc' and 'make install-abc' are now obsolete.
862 - Added support for passing FFs from one clock domain through ABC
863 - Now always use BLIF as exchange format with ABC
864 - Added support for "abc -script +<command_sequence>"
865 - Improved standard ABC recipe
866 - Added support for "keep" attribute to abc command
867 - Added "abc -dff / -clk / -keepff" options
868
869 * Improvements to "eval" and "sat" framework:
870 - Added support for "0" and "~0" in right-hand side -set expressions
871 - Added "eval -set-undef" and "eval -table"
872 - Added "sat -set-init" and "sat -set-init-*" for sequential problems
873 - Added undef support to SAT solver, incl. various new "sat" options
874 - Added correct support for === and !== for "eval" and "sat"
875 - Added "sat -tempinduct" (default -seq is now non-induction sequential)
876 - Added "sat -prove-asserts"
877 - Complete rewrite of the 'freduce' command
878 - Added "miter" command
879 - Added "sat -show-inputs" and "sat -show-outputs"
880 - Added "sat -ignore_unknown_cells" (now produce an error by default)
881 - Added "sat -falsify"
882 - Now "sat -verify" and "sat -falsify" can also be used without "-prove"
883 - Added "expose" command
884 - Added support for @<sel_name> to sat and eval signal expressions
885
886 * Changes in the 'make test' framework and auxiliary test tools:
887 - Added autotest.sh -p and -f options
888 - Replaced autotest.sh ISIM support with XSIM support
889 - Added test cases for SAT framework
890
891 * Added "abbreviated IDs":
892 - Now $<something>$foo can be abbreviated as $foo.
893 - Usually this last part is a unique id (from RTLIL::autoidx)
894 - This abbreviated IDs are now also used in "show" output
895
896 * Other changes to selection framework:
897 - Now */ is optional in */<mode>:<arg> expressions
898 - Added "select -assert-none" and "select -assert-any"
899 - Added support for matching modules by attribute (A:<expr>)
900 - Added "select -none"
901 - Added support for r:<expr> pattern for matching cell parameters
902 - Added support for !=, <, <=, >=, > for attribute and parameter matching
903 - Added support for %s for selecting sub-modules
904 - Added support for %m for expanding selections to whole modules
905 - Added support for i:*, o:* and x:* pattern for selecting module ports
906 - Added support for s:<expr> pattern for matching wire width
907 - Added support for %a operation to select wire aliases
908
909 * Various other changes to commands and options:
910 - The "ls" command now supports wildcards
911 - Added "show -pause" and "show -format dot"
912 - Added "show -color" support for cells
913 - Added "show -label" and "show -notitle"
914 - Added "dump -m" and "dump -n"
915 - Added "history" command
916 - Added "rename -hide"
917 - Added "connect" command
918 - Added "splitnets -driver"
919 - Added "opt_const -mux_undef"
920 - Added "opt_const -mux_bool"
921 - Added "opt_const -undriven"
922 - Added "opt -mux_undef -mux_bool -undriven -purge"
923 - Added "hierarchy -libdir"
924 - Added "hierarchy -purge_lib" (by default now do not remove lib cells)
925 - Added "delete" command
926 - Added "dump -append"
927 - Added "setattr" and "setparam" commands
928 - Added "design -stash/-copy-from/-copy-to"
929 - Added "copy" command
930 - Added "splice" command
931