Merge branch 'eddie/script_from_wire' into eddie/xc7srl_cleanup
[yosys.git] / CHANGELOG
1
2 List of major changes and improvements between releases
3 =======================================================
4
5
6 Yosys 0.9 .. Yosys 0.9-dev
7 --------------------------
8
9 * Various
10 - Added "write_xaiger" backend
11 - Added "abc9" pass for timing-aware techmapping (experimental, FPGA only, no FFs)
12 - Added "synth_xilinx -abc9" (experimental)
13 - Added "synth_ice40 -abc9" (experimental)
14 - Added "synth -abc9" (experimental)
15 - Added "script -scriptwire
16
17
18 Yosys 0.8 .. Yosys 0.8-dev
19 --------------------------
20
21 * Various
22 - Added $changed support to read_verilog
23 - Added "write_edif -attrprop"
24 - Added "ice40_unlut" pass
25 - Added "opt_lut" pass
26 - Added "synth_ice40 -relut"
27 - Added "synth_ice40 -noabc"
28 - Added "gate2lut.v" techmap rule
29 - Added "rename -src"
30 - Added "equiv_opt" pass
31 - Added "shregmap -tech xilinx"
32 - Added "read_aiger" frontend
33 - Added "muxcover -mux{4,8,16}=<cost>"
34 - Added "muxcover -dmux=<cost>"
35 - Added "muxcover -nopartial"
36 - Added "muxpack" pass
37 - Added "pmux2shiftx -norange"
38 - Added "synth_xilinx -nocarry"
39 - Added "synth_xilinx -nowidelut"
40 - Added "synth_ecp5 -nowidelut"
41 - "synth_xilinx" to now infer hard shift registers (-nosrl to disable)
42 - Fixed sign extension of unsized constants with 'bx and 'bz MSB
43
44
45 Yosys 0.7 .. Yosys 0.8
46 ----------------------
47
48 * Various
49 - Many bugfixes and small improvements
50 - Strip debug symbols from installed binary
51 - Replace -ignore_redef with -[no]overwrite in front-ends
52 - Added write_verilog hex dump support, add -nohex option
53 - Added "write_verilog -decimal"
54 - Added "scc -set_attr"
55 - Added "verilog_defines" command
56 - Remember defines from one read_verilog to next
57 - Added support for hierarchical defparam
58 - Added FIRRTL back-end
59 - Improved ABC default scripts
60 - Added "design -reset-vlog"
61 - Added "yosys -W regex", "yosys -w regex", and "yosys -e regex"
62 - Added Verilog $rtoi and $itor support
63 - Added "check -initdrv"
64 - Added "read_blif -wideports"
65 - Added support for SystemVerilog "++" and "--" operators
66 - Added support for SystemVerilog unique, unique0, and priority case
67 - Added "write_edif" options for edif "flavors"
68 - Added support for resetall compiler directive
69 - Added simple C beck-end (bitwise combinatorical only atm)
70 - Added $_ANDNOT_ and $_ORNOT_ cell types
71 - Added cell library aliases to "abc -g"
72 - Added "setundef -anyseq"
73 - Added "chtype" command
74 - Added "design -import"
75 - Added "write_table" command
76 - Added "read_json" command
77 - Added "sim" command
78 - Added "extract_fa" and "extract_reduce" commands
79 - Added "extract_counter" command
80 - Added "opt_demorgan" command
81 - Added support for $size and $bits SystemVerilog functions
82 - Added "blackbox" command
83 - Added "ltp" command
84 - Added support for editline as replacement for readline
85 - Added warnings for driver-driver conflicts between FFs (and other cells) and constants
86 - Added "yosys -E" for creating Makefile dependencies files
87 - Added "synth -noshare"
88 - Added "memory_nordff"
89 - Added "setundef -undef -expose -anyconst"
90 - Added "expose -input"
91 - Added specify/specparam parser support (simply ignore them)
92 - Added "write_blif -inames -iattr"
93 - Added "hierarchy -simcheck"
94 - Added an option to statically link abc into yosys
95 - Added protobuf back-end
96 - Added BLIF parsing support for .conn and .cname
97 - Added read_verilog error checking for reg/wire/logic misuse
98 - Added "make coverage" and ENABLE_GCOV build option
99
100 * Changes in Yosys APIs
101 - Added ConstEval defaultval feature
102 - Added {get,set}_src_attribute() methods on RTLIL::AttrObject
103 - Added SigSpec::is_fully_ones() and Const::is_fully_ones()
104 - Added log_file_warning() and log_file_error() functions
105
106 * Formal Verification
107 - Added "write_aiger"
108 - Added "yosys-smtbmc --aig"
109 - Added "always <positive_int>" to .smtc format
110 - Added $cover cell type and support for cover properties
111 - Added $fair/$live cell type and support for liveness properties
112 - Added smtbmc support for memory vcd dumping
113 - Added "chformal" command
114 - Added "write_smt2 -stbv" and "write_smt2 -stdt"
115 - Fix equiv_simple, old behavior now available with "equiv_simple -short"
116 - Change to Yices2 as default SMT solver (it is GPL now)
117 - Added "yosys-smtbmc --presat" (now default in SymbiYosys)
118 - Added "yosys-smtbmc --smtc-init --smtc-top --noinit"
119 - Added a brand new "write_btor" command for BTOR2
120 - Added clk2fflogic memory support and other improvements
121 - Added "async memory write" support to write_smt2
122 - Simulate clock toggling in yosys-smtbmc VCD output
123 - Added $allseq/$allconst cells for EA-solving
124 - Make -nordff the default in "prep"
125 - Added (* gclk *) attribute
126 - Added "async2sync" pass for single-clock designs with async resets
127
128 * Verific support
129 - Many improvements in Verific front-end
130 - Added proper handling of concurent SVA properties
131 - Map "const" and "rand const" to $anyseq/$anyconst
132 - Added "verific -import -flatten" and "verific -import -extnets"
133 - Added "verific -vlog-incdir -vlog-define -vlog-libdir"
134 - Remove PSL support (because PSL has been removed in upstream Verific)
135 - Improve integration with "hierarchy" command design elaboration
136 - Added YOSYS_NOVERIFIC for running non-verific test cases with verific bin
137 - Added simpilied "read" command that automatically uses verific if available
138 - Added "verific -set-<severity> <msg_id>.."
139 - Added "verific -work <libname>"
140
141 * New back-ends
142 - Added initial Coolrunner-II support
143 - Added initial eASIC support
144 - Added initial ECP5 support
145
146 * GreenPAK Support
147 - Added support for GP_DLATCH, GP_SPI, GP_DCMx, GP_COUNTx, etc.
148
149 * iCE40 Support
150 - Add "synth_ice40 -vpr"
151 - Add "synth_ice40 -nodffe"
152 - Add "synth_ice40 -json"
153 - Add Support for UltraPlus cells
154
155 * MAX10 and Cyclone IV Support
156 - Added initial version of metacommand "synth_intel".
157 - Improved write_verilog command to produce VQM netlist for Quartus Prime.
158 - Added support for MAX10 FPGA family synthesis.
159 - Added support for Cyclone IV family synthesis.
160 - Added example of implementation for DE2i-150 board.
161 - Added example of implementation for MAX10 development kit.
162 - Added LFSR example from Asic World.
163 - Added "dffinit -highlow" for mapping to Intel primitives
164
165
166 Yosys 0.6 .. Yosys 0.7
167 ----------------------
168
169 * Various
170 - Added "yosys -D" feature
171 - Added support for installed plugins in $(DATDIR)/plugins/
172 - Renamed opt_const to opt_expr
173 - Renamed opt_share to opt_merge
174 - Added "prep -flatten" and "synth -flatten"
175 - Added "prep -auto-top" and "synth -auto-top"
176 - Using "mfs" and "lutpack" in ABC lut mapping
177 - Support for abstract modules in chparam
178 - Cleanup abstract modules at end of "hierarchy -top"
179 - Added tristate buffer support to iopadmap
180 - Added opt_expr support for div/mod by power-of-two
181 - Added "select -assert-min <N> -assert-max <N>"
182 - Added "attrmvcp" pass
183 - Added "attrmap" command
184 - Added "tee +INT -INT"
185 - Added "zinit" pass
186 - Added "setparam -type"
187 - Added "shregmap" pass
188 - Added "setundef -init"
189 - Added "nlutmap -assert"
190 - Added $sop cell type and "abc -sop -I <num> -P <num>"
191 - Added "dc2" to default ABC scripts
192 - Added "deminout"
193 - Added "insbuf" command
194 - Added "prep -nomem"
195 - Added "opt_rmdff -keepdc"
196 - Added "prep -nokeepdc"
197 - Added initial version of "synth_gowin"
198 - Added "fsm_expand -full"
199 - Added support for fsm_encoding="user"
200 - Many improvements in GreenPAK4 support
201 - Added black box modules for all Xilinx 7-series lib cells
202 - Added synth_ice40 support for latches via logic loops
203 - Fixed ice40_opt lut unmapping, added "ice40_opt -unlut"
204
205 * Build System
206 - Added ABCEXTERNAL and ABCURL make variables
207 - Added BINDIR, LIBDIR, and DATDIR make variables
208 - Added PKG_CONFIG make variable
209 - Added SEED make variable (for "make test")
210 - Added YOSYS_VER_STR make variable
211 - Updated min GCC requirement to GCC 4.8
212 - Updated required Bison version to Bison 3.x
213
214 * Internal APIs
215 - Added ast.h to exported headers
216 - Added ScriptPass helper class for script-like passes
217 - Added CellEdgesDatabase API
218
219 * Front-ends and Back-ends
220 - Added filename glob support to all front-ends
221 - Added avail (black-box) module params to ilang format
222 - Added $display %m support
223 - Added support for $stop Verilog system task
224 - Added support for SystemVerilog packages
225 - Fixed procedural assignments to non-unique lvalues, e.g. {y,y} = {a,b}
226 - Added support for "active high" and "active low" latches in read_blif and write_blif
227 - Use init value "2" for all uninitialized FFs in BLIF back-end
228 - Added "read_blif -sop"
229 - Added "write_blif -noalias"
230 - Added various write_blif options for VTR support
231 - write_json: also write module attributes.
232 - Added "write_verilog -nodec -nostr -defparam"
233 - Added "read_verilog -norestrict -assume-asserts"
234 - Added support for bus interfaces to "read_liberty -lib"
235 - Added liberty parser support for types within cell decls
236 - Added "write_verilog -renameprefix -v"
237 - Added "write_edif -nogndvcc"
238
239 * Formal Verification
240 - Support for hierarchical designs in smt2 back-end
241 - Yosys-smtbmc: Support for hierarchical VCD dumping
242 - Added $initstate cell type and vlog function
243 - Added $anyconst and $anyseq cell types and vlog functions
244 - Added printing of code loc of failed asserts to yosys-smtbmc
245 - Added memory_memx pass, "memory -memx", and "prep -memx"
246 - Added "proc_mux -ifx"
247 - Added "yosys-smtbmc -g"
248 - Deprecated "write_smt2 -regs" (by default on now)
249 - Made "write_smt2 -bv -mem" default, added "write_smt2 -nobv -nomem"
250 - Added support for memories to smtio.py
251 - Added "yosys-smtbmc --dump-vlogtb"
252 - Added "yosys-smtbmc --smtc --dump-smtc"
253 - Added "yosys-smtbmc --dump-all"
254 - Added assertpmux command
255 - Added "yosys-smtbmc --unroll"
256 - Added $past, $stable, $rose, $fell SVA functions
257 - Added "yosys-smtbmc --noinfo and --dummy"
258 - Added "yosys-smtbmc --noincr"
259 - Added "yosys-smtbmc --cex <filename>"
260 - Added $ff and $_FF_ cell types
261 - Added $global_clock verilog syntax support for creating $ff cells
262 - Added clk2fflogic
263
264
265 Yosys 0.5 .. Yosys 0.6
266 ----------------------
267
268 * Various
269 - Added Contributor Covenant Code of Conduct
270 - Various improvements in dict<> and pool<>
271 - Added hashlib::mfp and refactored SigMap
272 - Improved support for reals as module parameters
273 - Various improvements in SMT2 back-end
274 - Added "keep_hierarchy" attribute
275 - Verilog front-end: define `BLACKBOX in -lib mode
276 - Added API for converting internal cells to AIGs
277 - Added ENABLE_LIBYOSYS Makefile option
278 - Removed "techmap -share_map" (use "-map +/filename" instead)
279 - Switched all Python scripts to Python 3
280 - Added support for $display()/$write() and $finish() to Verilog front-end
281 - Added "yosys-smtbmc" formal verification flow
282 - Added options for clang sanitizers to Makefile
283
284 * New commands and options
285 - Added "scc -expect <N> -nofeedback"
286 - Added "proc_dlatch"
287 - Added "check"
288 - Added "select %xe %cie %coe %M %C %R"
289 - Added "sat -dump_json" (WaveJSON format)
290 - Added "sat -tempinduct-baseonly -tempinduct-inductonly"
291 - Added "sat -stepsize" and "sat -tempinduct-step"
292 - Added "sat -show-regs -show-public -show-all"
293 - Added "write_json" (Native Yosys JSON format)
294 - Added "write_blif -attr"
295 - Added "dffinit"
296 - Added "chparam"
297 - Added "muxcover"
298 - Added "pmuxtree"
299 - Added memory_bram "make_outreg" feature
300 - Added "splice -wires"
301 - Added "dff2dffe -direct-match"
302 - Added simplemap $lut support
303 - Added "read_blif"
304 - Added "opt_share -share_all"
305 - Added "aigmap"
306 - Added "write_smt2 -mem -regs -wires"
307 - Added "memory -nordff"
308 - Added "write_smv"
309 - Added "synth -nordff -noalumacc"
310 - Added "rename -top new_name"
311 - Added "opt_const -clkinv"
312 - Added "synth -nofsm"
313 - Added "miter -assert"
314 - Added "read_verilog -noautowire"
315 - Added "read_verilog -nodpi"
316 - Added "tribuf"
317 - Added "lut2mux"
318 - Added "nlutmap"
319 - Added "qwp"
320 - Added "test_cell -noeval"
321 - Added "edgetypes"
322 - Added "equiv_struct"
323 - Added "equiv_purge"
324 - Added "equiv_mark"
325 - Added "equiv_add -try -cell"
326 - Added "singleton"
327 - Added "abc -g -luts"
328 - Added "torder"
329 - Added "write_blif -cname"
330 - Added "submod -copy"
331 - Added "dffsr2dff"
332 - Added "stat -liberty"
333
334 * Synthesis metacommands
335 - Various improvements in synth_xilinx
336 - Added synth_ice40 and synth_greenpak4
337 - Added "prep" metacommand for "synthesis lite"
338
339 * Cell library changes
340 - Added cell types to "help" system
341 - Added $meminit cell type
342 - Added $assume cell type
343 - Added $_MUX4_, $_MUX8_, and $_MUX16_ cells
344 - Added $tribuf and $_TBUF_ cell types
345 - Added read-enable to memory model
346
347 * YosysJS
348 - Various improvements in emscripten build
349 - Added alternative webworker-based JS API
350 - Added a few example applications
351
352
353 Yosys 0.4 .. Yosys 0.5
354 ----------------------
355
356 * API changes
357 - Added log_warning()
358 - Added eval_select_args() and eval_select_op()
359 - Added cell->known(), cell->input(portname), cell->output(portname)
360 - Skip blackbox modules in design->selected_modules()
361 - Replaced std::map<> and std::set<> with dict<> and pool<>
362 - New SigSpec::extend() is what used to be SigSpec::extend_u0()
363 - Added YS_OVERRIDE, YS_FINAL, YS_ATTRIBUTE, YS_NORETURN
364
365 * Cell library changes
366 - Added flip-flops with enable ($dffe etc.)
367 - Added $equiv cells for equivalence checking framework
368
369 * Various
370 - Updated ABC to hg rev 61ad5f908c03
371 - Added clock domain partitioning to ABC pass
372 - Improved plugin building (see "yosys-config --build")
373 - Added ENABLE_NDEBUG Makefile flag for high-performance builds
374 - Added "yosys -d", "yosys -L" and other driver improvements
375 - Added support for multi-bit (array) cell ports to "write_edif"
376 - Now printing most output to stdout, not stderr
377 - Added "onehot" attribute (set by "fsm_map")
378 - Various performance improvements
379 - Vastly improved Xilinx flow
380 - Added "make unsintall"
381
382 * Equivalence checking
383 - Added equivalence checking commands:
384 equiv_make equiv_simple equiv_status
385 equiv_induct equiv_miter
386 equiv_add equiv_remove
387
388 * Block RAM support:
389 - Added "memory_bram" command
390 - Added BRAM support to Xilinx flow
391
392 * Other New Commands and Options
393 - Added "dff2dffe"
394 - Added "fsm -encfile"
395 - Added "dfflibmap -prepare"
396 - Added "write_blid -unbuf -undef -blackbox"
397 - Added "write_smt2" for writing SMT-LIBv2 files
398 - Added "test_cell -w -muxdiv"
399 - Added "select -read"
400
401
402 Yosys 0.3.0 .. Yosys 0.4
403 ------------------------
404
405 * Platform Support
406 - Added support for mxe-based cross-builds for win32
407 - Added sourcecode-export as VisualStudio project
408 - Added experimental EMCC (JavaScript) support
409
410 * Verilog Frontend
411 - Added -sv option for SystemVerilog (and automatic *.sv file support)
412 - Added support for real-valued constants and constant expressions
413 - Added support for non-standard "via_celltype" attribute on task/func
414 - Added support for non-standard "module mod_name(...);" syntax
415 - Added support for non-standard """ macro bodies
416 - Added support for array with more than one dimension
417 - Added support for $readmemh and $readmemb
418 - Added support for DPI functions
419
420 * Changes in internal cell library
421 - Added $shift and $shiftx cell types
422 - Added $alu, $lcu, $fa and $macc cell types
423 - Removed $bu0 and $safe_pmux cell types
424 - $mem/$memwr WR_EN input is now a per-data-bit enable signal
425 - Added $_NAND_ $_NOR_ $_XNOR_ $_AOI3_ $_OAI3_ $_AOI4_ $_OAI4_
426 - Renamed ports of $lut cells (from I->O to A->Y)
427 - Renamed $_INV_ to $_NOT_
428
429 * Changes for simple synthesis flows
430 - There is now a "synth" command with a recommended default script
431 - Many improvements in synthesis of arithmetic functions to gates
432 - Multipliers and adders with many operands are using carry-save adder trees
433 - Remaining adders are now implemented using Brent-Kung carry look-ahead adders
434 - Various new high-level optimizations on RTL netlist
435 - Various improvements in FSM optimization
436 - Updated ABC to hg 5b5af75f1dda (from 2014-11-07)
437
438 * Changes in internal APIs and RTLIL
439 - Added log_id() and log_cell() helper functions
440 - Added function-like cell creation helpers
441 - Added GetSize() function (like .size() but with int)
442 - Major refactoring of RTLIL::Module and related classes
443 - Major refactoring of RTLIL::SigSpec and related classes
444 - Now RTLIL::IdString is essentially an int
445 - Added macros for code coverage counters
446 - Added some Makefile magic for pretty make logs
447 - Added "kernel/yosys.h" with all the core definitions
448 - Changed a lot of code from FILE* to c++ streams
449 - Added RTLIL::Monitor API and "trace" command
450 - Added "Yosys" C++ namespace
451
452 * Changes relevant to SAT solving
453 - Added ezSAT::keep_cnf() and ezSAT::non_incremental()
454 - Added native ezSAT support for vector shift ops
455 - Updated MiniSAT to git 37dc6c67e2 (from 2013-09-25)
456
457 * New commands (or large improvements to commands)
458 - Added "synth" command with default script
459 - Added "share" (finally some real resource sharing)
460 - Added "memory_share" (reduce number of ports on memories)
461 - Added "wreduce" and "alumacc" commands
462 - Added "opt -keepdc -fine -full -fast"
463 - Added some "test_*" commands
464
465 * Various other changes
466 - Added %D and %c select operators
467 - Added support for labels in yosys scripts
468 - Added support for here-documents in yosys scripts
469 - Support "+/" prefix for files from proc_share_dir
470 - Added "autoidx" statement to ilang language
471 - Switched from "yosys-svgviewer" to "xdot"
472 - Renamed "stdcells.v" to "techmap.v"
473 - Various bug fixes and small improvements
474 - Improved welcome and bye messages
475
476
477 Yosys 0.2.0 .. Yosys 0.3.0
478 --------------------------
479
480 * Driver program and overall behavior:
481 - Added "design -push" and "design -pop"
482 - Added "tee" command for redirecting log output
483
484 * Changes in the internal cell library:
485 - Added $dlatchsr and $_DLATCHSR_???_ cell types
486
487 * Improvements in Verilog frontend:
488 - Improved support for const functions (case, always, repeat)
489 - The generate..endgenerate keywords are now optional
490 - Added support for arrays of module instances
491 - Added support for "`default_nettype" directive
492 - Added support for "`line" directive
493
494 * Other front- and back-ends:
495 - Various changes to "write_blif" options
496 - Various improvements in EDIF backend
497 - Added "vhdl2verilog" pseudo-front-end
498 - Added "verific" pseudo-front-end
499
500 * Improvements in technology mapping:
501 - Added support for recursive techmap
502 - Added CONSTMSK and CONSTVAL features to techmap
503 - Added _TECHMAP_CONNMAP_*_ feature to techmap
504 - Added _TECHMAP_REPLACE_ feature to techmap
505 - Added "connwrappers" command for wrap-extract-unwrap method
506 - Added "extract -map %<design_name>" feature
507 - Added "extract -ignore_param ..." and "extract -ignore_parameters"
508 - Added "techmap -max_iter" option
509
510 * Improvements to "eval" and "sat" framework:
511 - Now include a copy of Minisat (with build fixes applied)
512 - Switched to Minisat::SimpSolver as SAT back-end
513 - Added "sat -dump_vcd" feature
514 - Added "sat -dump_cnf" feature
515 - Added "sat -initsteps <N>" feature
516 - Added "freduce -stop <N>" feature
517 - Added "freduce -dump <prefix>" feature
518
519 * Integration with ABC:
520 - Updated ABC rev to 7600ffb9340c
521
522 * Improvements in the internal APIs:
523 - Added RTLIL::Module::add... helper methods
524 - Various build fixes for OSX (Darwin) and OpenBSD
525
526
527 Yosys 0.1.0 .. Yosys 0.2.0
528 --------------------------
529
530 * Changes to the driver program:
531 - Added "yosys -h" and "yosys -H"
532 - Added support for backslash line continuation in scripts
533 - Added support for #-comments in same line as command
534 - Added "echo" and "log" commands
535
536 * Improvements in Verilog frontend:
537 - Added support for local registers in named blocks
538 - Added support for "case" in "generate" blocks
539 - Added support for $clog2 system function
540 - Added support for basic SystemVerilog assert statements
541 - Added preprocessor support for macro arguments
542 - Added preprocessor support for `elsif statement
543 - Added "verilog_defaults" command
544 - Added read_verilog -icells option
545 - Added support for constant sizes from parameters
546 - Added "read_verilog -setattr"
547 - Added support for function returning 'integer'
548 - Added limited support for function calls in parameter values
549 - Added "read_verilog -defer" to suppress evaluation of modules with default parameters
550
551 * Other front- and back-ends:
552 - Added BTOR backend
553 - Added Liberty frontend
554
555 * Improvements in technology mapping:
556 - The "dfflibmap" command now strongly prefers solutions with
557 no inverters in clock paths
558 - The "dfflibmap" command now prefers cells with smaller area
559 - Added support for multiple -map options to techmap
560 - Added "dfflibmap" support for //-comments in liberty files
561 - Added "memory_unpack" command to revert "memory_collect"
562 - Added standard techmap rule "techmap -share_map pmux2mux.v"
563 - Added "iopadmap -bits"
564 - Added "setundef" command
565 - Added "hilomap" command
566
567 * Changes in the internal cell library:
568 - Major rewrite of simlib.v for better compatibility with other tools
569 - Added PRIORITY parameter to $memwr cells
570 - Added TRANSPARENT parameter to $memrd cells
571 - Added RD_TRANSPARENT parameter to $mem cells
572 - Added $bu0 cell (always 0-extend, even undef MSB)
573 - Added $assert cell type
574 - Added $slice and $concat cell types
575
576 * Integration with ABC:
577 - Updated ABC to hg rev 2058c8ccea68
578 - Tighter integration of ABC build with Yosys build. The make
579 targets 'make abc' and 'make install-abc' are now obsolete.
580 - Added support for passing FFs from one clock domain through ABC
581 - Now always use BLIF as exchange format with ABC
582 - Added support for "abc -script +<command_sequence>"
583 - Improved standard ABC recipe
584 - Added support for "keep" attribute to abc command
585 - Added "abc -dff / -clk / -keepff" options
586
587 * Improvements to "eval" and "sat" framework:
588 - Added support for "0" and "~0" in right-hand side -set expressions
589 - Added "eval -set-undef" and "eval -table"
590 - Added "sat -set-init" and "sat -set-init-*" for sequential problems
591 - Added undef support to SAT solver, incl. various new "sat" options
592 - Added correct support for === and !== for "eval" and "sat"
593 - Added "sat -tempinduct" (default -seq is now non-induction sequential)
594 - Added "sat -prove-asserts"
595 - Complete rewrite of the 'freduce' command
596 - Added "miter" command
597 - Added "sat -show-inputs" and "sat -show-outputs"
598 - Added "sat -ignore_unknown_cells" (now produce an error by default)
599 - Added "sat -falsify"
600 - Now "sat -verify" and "sat -falsify" can also be used without "-prove"
601 - Added "expose" command
602 - Added support for @<sel_name> to sat and eval signal expressions
603
604 * Changes in the 'make test' framework and auxiliary test tools:
605 - Added autotest.sh -p and -f options
606 - Replaced autotest.sh ISIM support with XSIM support
607 - Added test cases for SAT framework
608
609 * Added "abbreviated IDs":
610 - Now $<something>$foo can be abbreviated as $foo.
611 - Usually this last part is a unique id (from RTLIL::autoidx)
612 - This abbreviated IDs are now also used in "show" output
613
614 * Other changes to selection framework:
615 - Now */ is optional in */<mode>:<arg> expressions
616 - Added "select -assert-none" and "select -assert-any"
617 - Added support for matching modules by attribute (A:<expr>)
618 - Added "select -none"
619 - Added support for r:<expr> pattern for matching cell parameters
620 - Added support for !=, <, <=, >=, > for attribute and parameter matching
621 - Added support for %s for selecting sub-modules
622 - Added support for %m for expanding selections to whole modules
623 - Added support for i:*, o:* and x:* pattern for selecting module ports
624 - Added support for s:<expr> pattern for matching wire width
625 - Added support for %a operation to select wire aliases
626
627 * Various other changes to commands and options:
628 - The "ls" command now supports wildcards
629 - Added "show -pause" and "show -format dot"
630 - Added "show -color" support for cells
631 - Added "show -label" and "show -notitle"
632 - Added "dump -m" and "dump -n"
633 - Added "history" command
634 - Added "rename -hide"
635 - Added "connect" command
636 - Added "splitnets -driver"
637 - Added "opt_const -mux_undef"
638 - Added "opt_const -mux_bool"
639 - Added "opt_const -undriven"
640 - Added "opt -mux_undef -mux_bool -undriven -purge"
641 - Added "hierarchy -libdir"
642 - Added "hierarchy -purge_lib" (by default now do not remove lib cells)
643 - Added "delete" command
644 - Added "dump -append"
645 - Added "setattr" and "setparam" commands
646 - Added "design -stash/-copy-from/-copy-to"
647 - Added "copy" command
648 - Added "splice" command
649