Update synth_ice40 -device doc to be relevant for -abc9 only
[yosys.git] / CHANGELOG
1
2 List of major changes and improvements between releases
3 =======================================================
4
5
6 Yosys 0.8 .. Yosys 0.8-dev
7 --------------------------
8
9 * Various
10 - Added $changed support to read_verilog
11 - Added "write_edif -attrprop"
12 - Added "ice40_unlut" pass
13 - Added "opt_lut" pass
14 - Added "synth_ice40 -relut"
15 - Added "synth_ice40 -noabc"
16 - Added "gate2lut.v" techmap rule
17 - Added "rename -src"
18 - Added "equiv_opt" pass
19 - Added "shregmap -tech xilinx"
20 - Added "read_aiger" frontend
21 - Added "muxcover -mux{4,8,16}=<cost>"
22 - Added "muxcover -dmux=<cost>"
23 - Added "muxcover -nopartial"
24 - Added "muxpack" pass
25 - Added "pmux2shiftx -norange"
26 - Added "write_xaiger" backend
27 - Added "abc9" pass for timing-aware techmapping (experimental, FPGA only, no FFs)
28 - Added "synth_xilinx -abc9" (experimental)
29 - Added "synth_ice40 -abc9" (experimental)
30 - Added "synth -abc9" (experimental)
31 - "synth_xilinx" to now infer hard shift registers (-nosrl to disable)
32 - Fixed sign extension of unsized constants with 'bx and 'bz MSB
33
34
35 Yosys 0.7 .. Yosys 0.8
36 ----------------------
37
38 * Various
39 - Many bugfixes and small improvements
40 - Strip debug symbols from installed binary
41 - Replace -ignore_redef with -[no]overwrite in front-ends
42 - Added write_verilog hex dump support, add -nohex option
43 - Added "write_verilog -decimal"
44 - Added "scc -set_attr"
45 - Added "verilog_defines" command
46 - Remember defines from one read_verilog to next
47 - Added support for hierarchical defparam
48 - Added FIRRTL back-end
49 - Improved ABC default scripts
50 - Added "design -reset-vlog"
51 - Added "yosys -W regex", "yosys -w regex", and "yosys -e regex"
52 - Added Verilog $rtoi and $itor support
53 - Added "check -initdrv"
54 - Added "read_blif -wideports"
55 - Added support for SystemVerilog "++" and "--" operators
56 - Added support for SystemVerilog unique, unique0, and priority case
57 - Added "write_edif" options for edif "flavors"
58 - Added support for resetall compiler directive
59 - Added simple C beck-end (bitwise combinatorical only atm)
60 - Added $_ANDNOT_ and $_ORNOT_ cell types
61 - Added cell library aliases to "abc -g"
62 - Added "setundef -anyseq"
63 - Added "chtype" command
64 - Added "design -import"
65 - Added "write_table" command
66 - Added "read_json" command
67 - Added "sim" command
68 - Added "extract_fa" and "extract_reduce" commands
69 - Added "extract_counter" command
70 - Added "opt_demorgan" command
71 - Added support for $size and $bits SystemVerilog functions
72 - Added "blackbox" command
73 - Added "ltp" command
74 - Added support for editline as replacement for readline
75 - Added warnings for driver-driver conflicts between FFs (and other cells) and constants
76 - Added "yosys -E" for creating Makefile dependencies files
77 - Added "synth -noshare"
78 - Added "memory_nordff"
79 - Added "setundef -undef -expose -anyconst"
80 - Added "expose -input"
81 - Added specify/specparam parser support (simply ignore them)
82 - Added "write_blif -inames -iattr"
83 - Added "hierarchy -simcheck"
84 - Added an option to statically link abc into yosys
85 - Added protobuf back-end
86 - Added BLIF parsing support for .conn and .cname
87 - Added read_verilog error checking for reg/wire/logic misuse
88 - Added "make coverage" and ENABLE_GCOV build option
89
90 * Changes in Yosys APIs
91 - Added ConstEval defaultval feature
92 - Added {get,set}_src_attribute() methods on RTLIL::AttrObject
93 - Added SigSpec::is_fully_ones() and Const::is_fully_ones()
94 - Added log_file_warning() and log_file_error() functions
95
96 * Formal Verification
97 - Added "write_aiger"
98 - Added "yosys-smtbmc --aig"
99 - Added "always <positive_int>" to .smtc format
100 - Added $cover cell type and support for cover properties
101 - Added $fair/$live cell type and support for liveness properties
102 - Added smtbmc support for memory vcd dumping
103 - Added "chformal" command
104 - Added "write_smt2 -stbv" and "write_smt2 -stdt"
105 - Fix equiv_simple, old behavior now available with "equiv_simple -short"
106 - Change to Yices2 as default SMT solver (it is GPL now)
107 - Added "yosys-smtbmc --presat" (now default in SymbiYosys)
108 - Added "yosys-smtbmc --smtc-init --smtc-top --noinit"
109 - Added a brand new "write_btor" command for BTOR2
110 - Added clk2fflogic memory support and other improvements
111 - Added "async memory write" support to write_smt2
112 - Simulate clock toggling in yosys-smtbmc VCD output
113 - Added $allseq/$allconst cells for EA-solving
114 - Make -nordff the default in "prep"
115 - Added (* gclk *) attribute
116 - Added "async2sync" pass for single-clock designs with async resets
117
118 * Verific support
119 - Many improvements in Verific front-end
120 - Added proper handling of concurent SVA properties
121 - Map "const" and "rand const" to $anyseq/$anyconst
122 - Added "verific -import -flatten" and "verific -import -extnets"
123 - Added "verific -vlog-incdir -vlog-define -vlog-libdir"
124 - Remove PSL support (because PSL has been removed in upstream Verific)
125 - Improve integration with "hierarchy" command design elaboration
126 - Added YOSYS_NOVERIFIC for running non-verific test cases with verific bin
127 - Added simpilied "read" command that automatically uses verific if available
128 - Added "verific -set-<severity> <msg_id>.."
129 - Added "verific -work <libname>"
130
131 * New back-ends
132 - Added initial Coolrunner-II support
133 - Added initial eASIC support
134 - Added initial ECP5 support
135
136 * GreenPAK Support
137 - Added support for GP_DLATCH, GP_SPI, GP_DCMx, GP_COUNTx, etc.
138
139 * iCE40 Support
140 - Add "synth_ice40 -vpr"
141 - Add "synth_ice40 -nodffe"
142 - Add "synth_ice40 -json"
143 - Add Support for UltraPlus cells
144
145 * MAX10 and Cyclone IV Support
146 - Added initial version of metacommand "synth_intel".
147 - Improved write_verilog command to produce VQM netlist for Quartus Prime.
148 - Added support for MAX10 FPGA family synthesis.
149 - Added support for Cyclone IV family synthesis.
150 - Added example of implementation for DE2i-150 board.
151 - Added example of implementation for MAX10 development kit.
152 - Added LFSR example from Asic World.
153 - Added "dffinit -highlow" for mapping to Intel primitives
154
155
156 Yosys 0.6 .. Yosys 0.7
157 ----------------------
158
159 * Various
160 - Added "yosys -D" feature
161 - Added support for installed plugins in $(DATDIR)/plugins/
162 - Renamed opt_const to opt_expr
163 - Renamed opt_share to opt_merge
164 - Added "prep -flatten" and "synth -flatten"
165 - Added "prep -auto-top" and "synth -auto-top"
166 - Using "mfs" and "lutpack" in ABC lut mapping
167 - Support for abstract modules in chparam
168 - Cleanup abstract modules at end of "hierarchy -top"
169 - Added tristate buffer support to iopadmap
170 - Added opt_expr support for div/mod by power-of-two
171 - Added "select -assert-min <N> -assert-max <N>"
172 - Added "attrmvcp" pass
173 - Added "attrmap" command
174 - Added "tee +INT -INT"
175 - Added "zinit" pass
176 - Added "setparam -type"
177 - Added "shregmap" pass
178 - Added "setundef -init"
179 - Added "nlutmap -assert"
180 - Added $sop cell type and "abc -sop -I <num> -P <num>"
181 - Added "dc2" to default ABC scripts
182 - Added "deminout"
183 - Added "insbuf" command
184 - Added "prep -nomem"
185 - Added "opt_rmdff -keepdc"
186 - Added "prep -nokeepdc"
187 - Added initial version of "synth_gowin"
188 - Added "fsm_expand -full"
189 - Added support for fsm_encoding="user"
190 - Many improvements in GreenPAK4 support
191 - Added black box modules for all Xilinx 7-series lib cells
192 - Added synth_ice40 support for latches via logic loops
193 - Fixed ice40_opt lut unmapping, added "ice40_opt -unlut"
194
195 * Build System
196 - Added ABCEXTERNAL and ABCURL make variables
197 - Added BINDIR, LIBDIR, and DATDIR make variables
198 - Added PKG_CONFIG make variable
199 - Added SEED make variable (for "make test")
200 - Added YOSYS_VER_STR make variable
201 - Updated min GCC requirement to GCC 4.8
202 - Updated required Bison version to Bison 3.x
203
204 * Internal APIs
205 - Added ast.h to exported headers
206 - Added ScriptPass helper class for script-like passes
207 - Added CellEdgesDatabase API
208
209 * Front-ends and Back-ends
210 - Added filename glob support to all front-ends
211 - Added avail (black-box) module params to ilang format
212 - Added $display %m support
213 - Added support for $stop Verilog system task
214 - Added support for SystemVerilog packages
215 - Fixed procedural assignments to non-unique lvalues, e.g. {y,y} = {a,b}
216 - Added support for "active high" and "active low" latches in read_blif and write_blif
217 - Use init value "2" for all uninitialized FFs in BLIF back-end
218 - Added "read_blif -sop"
219 - Added "write_blif -noalias"
220 - Added various write_blif options for VTR support
221 - write_json: also write module attributes.
222 - Added "write_verilog -nodec -nostr -defparam"
223 - Added "read_verilog -norestrict -assume-asserts"
224 - Added support for bus interfaces to "read_liberty -lib"
225 - Added liberty parser support for types within cell decls
226 - Added "write_verilog -renameprefix -v"
227 - Added "write_edif -nogndvcc"
228
229 * Formal Verification
230 - Support for hierarchical designs in smt2 back-end
231 - Yosys-smtbmc: Support for hierarchical VCD dumping
232 - Added $initstate cell type and vlog function
233 - Added $anyconst and $anyseq cell types and vlog functions
234 - Added printing of code loc of failed asserts to yosys-smtbmc
235 - Added memory_memx pass, "memory -memx", and "prep -memx"
236 - Added "proc_mux -ifx"
237 - Added "yosys-smtbmc -g"
238 - Deprecated "write_smt2 -regs" (by default on now)
239 - Made "write_smt2 -bv -mem" default, added "write_smt2 -nobv -nomem"
240 - Added support for memories to smtio.py
241 - Added "yosys-smtbmc --dump-vlogtb"
242 - Added "yosys-smtbmc --smtc --dump-smtc"
243 - Added "yosys-smtbmc --dump-all"
244 - Added assertpmux command
245 - Added "yosys-smtbmc --unroll"
246 - Added $past, $stable, $rose, $fell SVA functions
247 - Added "yosys-smtbmc --noinfo and --dummy"
248 - Added "yosys-smtbmc --noincr"
249 - Added "yosys-smtbmc --cex <filename>"
250 - Added $ff and $_FF_ cell types
251 - Added $global_clock verilog syntax support for creating $ff cells
252 - Added clk2fflogic
253
254
255 Yosys 0.5 .. Yosys 0.6
256 ----------------------
257
258 * Various
259 - Added Contributor Covenant Code of Conduct
260 - Various improvements in dict<> and pool<>
261 - Added hashlib::mfp and refactored SigMap
262 - Improved support for reals as module parameters
263 - Various improvements in SMT2 back-end
264 - Added "keep_hierarchy" attribute
265 - Verilog front-end: define `BLACKBOX in -lib mode
266 - Added API for converting internal cells to AIGs
267 - Added ENABLE_LIBYOSYS Makefile option
268 - Removed "techmap -share_map" (use "-map +/filename" instead)
269 - Switched all Python scripts to Python 3
270 - Added support for $display()/$write() and $finish() to Verilog front-end
271 - Added "yosys-smtbmc" formal verification flow
272 - Added options for clang sanitizers to Makefile
273
274 * New commands and options
275 - Added "scc -expect <N> -nofeedback"
276 - Added "proc_dlatch"
277 - Added "check"
278 - Added "select %xe %cie %coe %M %C %R"
279 - Added "sat -dump_json" (WaveJSON format)
280 - Added "sat -tempinduct-baseonly -tempinduct-inductonly"
281 - Added "sat -stepsize" and "sat -tempinduct-step"
282 - Added "sat -show-regs -show-public -show-all"
283 - Added "write_json" (Native Yosys JSON format)
284 - Added "write_blif -attr"
285 - Added "dffinit"
286 - Added "chparam"
287 - Added "muxcover"
288 - Added "pmuxtree"
289 - Added memory_bram "make_outreg" feature
290 - Added "splice -wires"
291 - Added "dff2dffe -direct-match"
292 - Added simplemap $lut support
293 - Added "read_blif"
294 - Added "opt_share -share_all"
295 - Added "aigmap"
296 - Added "write_smt2 -mem -regs -wires"
297 - Added "memory -nordff"
298 - Added "write_smv"
299 - Added "synth -nordff -noalumacc"
300 - Added "rename -top new_name"
301 - Added "opt_const -clkinv"
302 - Added "synth -nofsm"
303 - Added "miter -assert"
304 - Added "read_verilog -noautowire"
305 - Added "read_verilog -nodpi"
306 - Added "tribuf"
307 - Added "lut2mux"
308 - Added "nlutmap"
309 - Added "qwp"
310 - Added "test_cell -noeval"
311 - Added "edgetypes"
312 - Added "equiv_struct"
313 - Added "equiv_purge"
314 - Added "equiv_mark"
315 - Added "equiv_add -try -cell"
316 - Added "singleton"
317 - Added "abc -g -luts"
318 - Added "torder"
319 - Added "write_blif -cname"
320 - Added "submod -copy"
321 - Added "dffsr2dff"
322 - Added "stat -liberty"
323
324 * Synthesis metacommands
325 - Various improvements in synth_xilinx
326 - Added synth_ice40 and synth_greenpak4
327 - Added "prep" metacommand for "synthesis lite"
328
329 * Cell library changes
330 - Added cell types to "help" system
331 - Added $meminit cell type
332 - Added $assume cell type
333 - Added $_MUX4_, $_MUX8_, and $_MUX16_ cells
334 - Added $tribuf and $_TBUF_ cell types
335 - Added read-enable to memory model
336
337 * YosysJS
338 - Various improvements in emscripten build
339 - Added alternative webworker-based JS API
340 - Added a few example applications
341
342
343 Yosys 0.4 .. Yosys 0.5
344 ----------------------
345
346 * API changes
347 - Added log_warning()
348 - Added eval_select_args() and eval_select_op()
349 - Added cell->known(), cell->input(portname), cell->output(portname)
350 - Skip blackbox modules in design->selected_modules()
351 - Replaced std::map<> and std::set<> with dict<> and pool<>
352 - New SigSpec::extend() is what used to be SigSpec::extend_u0()
353 - Added YS_OVERRIDE, YS_FINAL, YS_ATTRIBUTE, YS_NORETURN
354
355 * Cell library changes
356 - Added flip-flops with enable ($dffe etc.)
357 - Added $equiv cells for equivalence checking framework
358
359 * Various
360 - Updated ABC to hg rev 61ad5f908c03
361 - Added clock domain partitioning to ABC pass
362 - Improved plugin building (see "yosys-config --build")
363 - Added ENABLE_NDEBUG Makefile flag for high-performance builds
364 - Added "yosys -d", "yosys -L" and other driver improvements
365 - Added support for multi-bit (array) cell ports to "write_edif"
366 - Now printing most output to stdout, not stderr
367 - Added "onehot" attribute (set by "fsm_map")
368 - Various performance improvements
369 - Vastly improved Xilinx flow
370 - Added "make unsintall"
371
372 * Equivalence checking
373 - Added equivalence checking commands:
374 equiv_make equiv_simple equiv_status
375 equiv_induct equiv_miter
376 equiv_add equiv_remove
377
378 * Block RAM support:
379 - Added "memory_bram" command
380 - Added BRAM support to Xilinx flow
381
382 * Other New Commands and Options
383 - Added "dff2dffe"
384 - Added "fsm -encfile"
385 - Added "dfflibmap -prepare"
386 - Added "write_blid -unbuf -undef -blackbox"
387 - Added "write_smt2" for writing SMT-LIBv2 files
388 - Added "test_cell -w -muxdiv"
389 - Added "select -read"
390
391
392 Yosys 0.3.0 .. Yosys 0.4
393 ------------------------
394
395 * Platform Support
396 - Added support for mxe-based cross-builds for win32
397 - Added sourcecode-export as VisualStudio project
398 - Added experimental EMCC (JavaScript) support
399
400 * Verilog Frontend
401 - Added -sv option for SystemVerilog (and automatic *.sv file support)
402 - Added support for real-valued constants and constant expressions
403 - Added support for non-standard "via_celltype" attribute on task/func
404 - Added support for non-standard "module mod_name(...);" syntax
405 - Added support for non-standard """ macro bodies
406 - Added support for array with more than one dimension
407 - Added support for $readmemh and $readmemb
408 - Added support for DPI functions
409
410 * Changes in internal cell library
411 - Added $shift and $shiftx cell types
412 - Added $alu, $lcu, $fa and $macc cell types
413 - Removed $bu0 and $safe_pmux cell types
414 - $mem/$memwr WR_EN input is now a per-data-bit enable signal
415 - Added $_NAND_ $_NOR_ $_XNOR_ $_AOI3_ $_OAI3_ $_AOI4_ $_OAI4_
416 - Renamed ports of $lut cells (from I->O to A->Y)
417 - Renamed $_INV_ to $_NOT_
418
419 * Changes for simple synthesis flows
420 - There is now a "synth" command with a recommended default script
421 - Many improvements in synthesis of arithmetic functions to gates
422 - Multipliers and adders with many operands are using carry-save adder trees
423 - Remaining adders are now implemented using Brent-Kung carry look-ahead adders
424 - Various new high-level optimizations on RTL netlist
425 - Various improvements in FSM optimization
426 - Updated ABC to hg 5b5af75f1dda (from 2014-11-07)
427
428 * Changes in internal APIs and RTLIL
429 - Added log_id() and log_cell() helper functions
430 - Added function-like cell creation helpers
431 - Added GetSize() function (like .size() but with int)
432 - Major refactoring of RTLIL::Module and related classes
433 - Major refactoring of RTLIL::SigSpec and related classes
434 - Now RTLIL::IdString is essentially an int
435 - Added macros for code coverage counters
436 - Added some Makefile magic for pretty make logs
437 - Added "kernel/yosys.h" with all the core definitions
438 - Changed a lot of code from FILE* to c++ streams
439 - Added RTLIL::Monitor API and "trace" command
440 - Added "Yosys" C++ namespace
441
442 * Changes relevant to SAT solving
443 - Added ezSAT::keep_cnf() and ezSAT::non_incremental()
444 - Added native ezSAT support for vector shift ops
445 - Updated MiniSAT to git 37dc6c67e2 (from 2013-09-25)
446
447 * New commands (or large improvements to commands)
448 - Added "synth" command with default script
449 - Added "share" (finally some real resource sharing)
450 - Added "memory_share" (reduce number of ports on memories)
451 - Added "wreduce" and "alumacc" commands
452 - Added "opt -keepdc -fine -full -fast"
453 - Added some "test_*" commands
454
455 * Various other changes
456 - Added %D and %c select operators
457 - Added support for labels in yosys scripts
458 - Added support for here-documents in yosys scripts
459 - Support "+/" prefix for files from proc_share_dir
460 - Added "autoidx" statement to ilang language
461 - Switched from "yosys-svgviewer" to "xdot"
462 - Renamed "stdcells.v" to "techmap.v"
463 - Various bug fixes and small improvements
464 - Improved welcome and bye messages
465
466
467 Yosys 0.2.0 .. Yosys 0.3.0
468 --------------------------
469
470 * Driver program and overall behavior:
471 - Added "design -push" and "design -pop"
472 - Added "tee" command for redirecting log output
473
474 * Changes in the internal cell library:
475 - Added $dlatchsr and $_DLATCHSR_???_ cell types
476
477 * Improvements in Verilog frontend:
478 - Improved support for const functions (case, always, repeat)
479 - The generate..endgenerate keywords are now optional
480 - Added support for arrays of module instances
481 - Added support for "`default_nettype" directive
482 - Added support for "`line" directive
483
484 * Other front- and back-ends:
485 - Various changes to "write_blif" options
486 - Various improvements in EDIF backend
487 - Added "vhdl2verilog" pseudo-front-end
488 - Added "verific" pseudo-front-end
489
490 * Improvements in technology mapping:
491 - Added support for recursive techmap
492 - Added CONSTMSK and CONSTVAL features to techmap
493 - Added _TECHMAP_CONNMAP_*_ feature to techmap
494 - Added _TECHMAP_REPLACE_ feature to techmap
495 - Added "connwrappers" command for wrap-extract-unwrap method
496 - Added "extract -map %<design_name>" feature
497 - Added "extract -ignore_param ..." and "extract -ignore_parameters"
498 - Added "techmap -max_iter" option
499
500 * Improvements to "eval" and "sat" framework:
501 - Now include a copy of Minisat (with build fixes applied)
502 - Switched to Minisat::SimpSolver as SAT back-end
503 - Added "sat -dump_vcd" feature
504 - Added "sat -dump_cnf" feature
505 - Added "sat -initsteps <N>" feature
506 - Added "freduce -stop <N>" feature
507 - Added "freduce -dump <prefix>" feature
508
509 * Integration with ABC:
510 - Updated ABC rev to 7600ffb9340c
511
512 * Improvements in the internal APIs:
513 - Added RTLIL::Module::add... helper methods
514 - Various build fixes for OSX (Darwin) and OpenBSD
515
516
517 Yosys 0.1.0 .. Yosys 0.2.0
518 --------------------------
519
520 * Changes to the driver program:
521 - Added "yosys -h" and "yosys -H"
522 - Added support for backslash line continuation in scripts
523 - Added support for #-comments in same line as command
524 - Added "echo" and "log" commands
525
526 * Improvements in Verilog frontend:
527 - Added support for local registers in named blocks
528 - Added support for "case" in "generate" blocks
529 - Added support for $clog2 system function
530 - Added support for basic SystemVerilog assert statements
531 - Added preprocessor support for macro arguments
532 - Added preprocessor support for `elsif statement
533 - Added "verilog_defaults" command
534 - Added read_verilog -icells option
535 - Added support for constant sizes from parameters
536 - Added "read_verilog -setattr"
537 - Added support for function returning 'integer'
538 - Added limited support for function calls in parameter values
539 - Added "read_verilog -defer" to suppress evaluation of modules with default parameters
540
541 * Other front- and back-ends:
542 - Added BTOR backend
543 - Added Liberty frontend
544
545 * Improvements in technology mapping:
546 - The "dfflibmap" command now strongly prefers solutions with
547 no inverters in clock paths
548 - The "dfflibmap" command now prefers cells with smaller area
549 - Added support for multiple -map options to techmap
550 - Added "dfflibmap" support for //-comments in liberty files
551 - Added "memory_unpack" command to revert "memory_collect"
552 - Added standard techmap rule "techmap -share_map pmux2mux.v"
553 - Added "iopadmap -bits"
554 - Added "setundef" command
555 - Added "hilomap" command
556
557 * Changes in the internal cell library:
558 - Major rewrite of simlib.v for better compatibility with other tools
559 - Added PRIORITY parameter to $memwr cells
560 - Added TRANSPARENT parameter to $memrd cells
561 - Added RD_TRANSPARENT parameter to $mem cells
562 - Added $bu0 cell (always 0-extend, even undef MSB)
563 - Added $assert cell type
564 - Added $slice and $concat cell types
565
566 * Integration with ABC:
567 - Updated ABC to hg rev 2058c8ccea68
568 - Tighter integration of ABC build with Yosys build. The make
569 targets 'make abc' and 'make install-abc' are now obsolete.
570 - Added support for passing FFs from one clock domain through ABC
571 - Now always use BLIF as exchange format with ABC
572 - Added support for "abc -script +<command_sequence>"
573 - Improved standard ABC recipe
574 - Added support for "keep" attribute to abc command
575 - Added "abc -dff / -clk / -keepff" options
576
577 * Improvements to "eval" and "sat" framework:
578 - Added support for "0" and "~0" in right-hand side -set expressions
579 - Added "eval -set-undef" and "eval -table"
580 - Added "sat -set-init" and "sat -set-init-*" for sequential problems
581 - Added undef support to SAT solver, incl. various new "sat" options
582 - Added correct support for === and !== for "eval" and "sat"
583 - Added "sat -tempinduct" (default -seq is now non-induction sequential)
584 - Added "sat -prove-asserts"
585 - Complete rewrite of the 'freduce' command
586 - Added "miter" command
587 - Added "sat -show-inputs" and "sat -show-outputs"
588 - Added "sat -ignore_unknown_cells" (now produce an error by default)
589 - Added "sat -falsify"
590 - Now "sat -verify" and "sat -falsify" can also be used without "-prove"
591 - Added "expose" command
592 - Added support for @<sel_name> to sat and eval signal expressions
593
594 * Changes in the 'make test' framework and auxiliary test tools:
595 - Added autotest.sh -p and -f options
596 - Replaced autotest.sh ISIM support with XSIM support
597 - Added test cases for SAT framework
598
599 * Added "abbreviated IDs":
600 - Now $<something>$foo can be abbreviated as $foo.
601 - Usually this last part is a unique id (from RTLIL::autoidx)
602 - This abbreviated IDs are now also used in "show" output
603
604 * Other changes to selection framework:
605 - Now */ is optional in */<mode>:<arg> expressions
606 - Added "select -assert-none" and "select -assert-any"
607 - Added support for matching modules by attribute (A:<expr>)
608 - Added "select -none"
609 - Added support for r:<expr> pattern for matching cell parameters
610 - Added support for !=, <, <=, >=, > for attribute and parameter matching
611 - Added support for %s for selecting sub-modules
612 - Added support for %m for expanding selections to whole modules
613 - Added support for i:*, o:* and x:* pattern for selecting module ports
614 - Added support for s:<expr> pattern for matching wire width
615 - Added support for %a operation to select wire aliases
616
617 * Various other changes to commands and options:
618 - The "ls" command now supports wildcards
619 - Added "show -pause" and "show -format dot"
620 - Added "show -color" support for cells
621 - Added "show -label" and "show -notitle"
622 - Added "dump -m" and "dump -n"
623 - Added "history" command
624 - Added "rename -hide"
625 - Added "connect" command
626 - Added "splitnets -driver"
627 - Added "opt_const -mux_undef"
628 - Added "opt_const -mux_bool"
629 - Added "opt_const -undriven"
630 - Added "opt -mux_undef -mux_bool -undriven -purge"
631 - Added "hierarchy -libdir"
632 - Added "hierarchy -purge_lib" (by default now do not remove lib cells)
633 - Added "delete" command
634 - Added "dump -append"
635 - Added "setattr" and "setparam" commands
636 - Added "design -stash/-copy-from/-copy-to"
637 - Added "copy" command
638 - Added "splice" command
639