f9e420a093afe35520d85437471efb5b00411bdb
[yosys.git] / CHANGELOG
1
2 List of major changes and improvements between releases
3 =======================================================
4
5
6 Yosys 0.9 .. Yosys 0.9-dev
7 --------------------------
8
9 * Various
10 - Added "write_xaiger" backend
11 - Added "abc9" pass for timing-aware techmapping (experimental, FPGA only, no FFs)
12 - Added "synth_xilinx -abc9" (experimental)
13 - Added "synth_ice40 -abc9" (experimental)
14 - Added "synth -abc9" (experimental)
15 - Added "script -scriptwire"
16 - Added "synth_xilinx -nocarry"
17 - Added "synth_xilinx -nowidelut"
18 - Added "synth_ecp5 -nowidelut"
19 - "synth_xilinx" to now infer wide multiplexers (-widemux <min> to enable)
20 - Renamed labels/options in synth_ice40 (e.g. dram -> map_lutram; -nodram -> -nolutram)
21 - Renamed labels/options in synth_ecp5 (e.g. dram -> map_lutram; -nodram -> -nolutram)
22 - Renamed labels in synth_intel (e.g. bram -> map_bram)
23 - Renamed labels/options in synth_xilinx (e.g. dram -> map_lutram; -nodram -> -nolutram)
24 - Added automatic gzip decompression for frontends
25 - Added $_NMUX_ cell type
26 - Added automatic gzip compression (based on filename extension) for backends
27 - Improve attribute and parameter encoding in JSON to avoid ambiguities between
28 bit vectors and strings containing [01xz]*
29 - Added "clkbufmap" pass
30 - Added "extractinv" pass and "invertible_pin" attribute
31 - Added "synth_xilinx -family xc6s" for Spartan 6 support (experimental)
32 - Added "synth_xilinx -ise" (experimental)
33 - Added "synth_xilinx -iopad"
34 - "synth_xilinx" now automatically inserts clock buffers (add -noclkbuf to disable)
35 - Improvements in pmgen: subpattern and recursive matches
36 - Added "opt_share" pass, run as part of "opt -full"
37 - Added "ice40_wrapcarry" to encapsulate SB_LUT+SB_CARRY pairs for techmapping
38 - Removed "ice40_unlut"
39 - Improvements in pmgen: slices, choices, define, generate
40 - Added "xilinx_srl" for Xilinx shift register extraction
41 - Removed "shregmap -tech xilinx" (superseded by "xilinx_srl")
42 - Added "_TECHMAP_WIREINIT_*_" attribute and "_TECHMAP_REMOVEINIT_*_" wire for "techmap" pass
43 - Added "-match-init" option to "dff2dffs" pass
44 - Added "techmap_autopurge" support to techmap
45 - Added "add -mod <modname[s]>"
46 - Added +/mul2dsp.v for decomposing wide multipliers to custom-sized ones
47 - Added "ice40_dsp" for Lattice iCE40 DSP packing
48 - Added "xilinx_dsp" for Xilinx DSP packing
49 - "synth_xilinx" to now infer DSP blocks (-nodsp to disable)
50 - "synth_ecp5" to now infer DSP blocks (-nodsp to disable, experimental)
51 - "synth_ice40 -dsp" to infer DSP blocks
52 - Added latch support to synth_xilinx
53 - Added support for flip-flops with synchronous reset to synth_xilinx
54 - Added support for flip-flops with reset and enable to synth_xilinx
55 - Added "check -mapped"
56 - Added checking of SystemVerilog always block types (always_comb,
57 always_latch and always_ff)
58 - Added support for SystemVerilog wildcard port connections (.*)
59 - Added "xilinx_dffopt" pass
60 - Added "scratchpad" pass
61 - Added "abc9 -dff"
62 - Added "synth_xilinx -dff"
63 - Improved support of $readmem[hb] Memory Content File inclusion
64 - Added "opt_lut_ins" pass
65 - Added "logger" pass
66 - Removed "dffsr2dff" (use opt_rmdff instead)
67 - Added "design -delete"
68
69 Yosys 0.8 .. Yosys 0.9
70 ----------------------
71
72 * Various
73 - Many bugfixes and small improvements
74 - Added support for SystemVerilog interfaces and modports
75 - Added "write_edif -attrprop"
76 - Added "opt_lut" pass
77 - Added "gate2lut.v" techmap rule
78 - Added "rename -src"
79 - Added "equiv_opt" pass
80 - Added "flowmap" LUT mapping pass
81 - Added "rename -wire" to rename cells based on the wires they drive
82 - Added "bugpoint" for creating minimised testcases
83 - Added "write_edif -gndvccy"
84 - "write_verilog" to escape Verilog keywords
85 - Fixed sign handling of real constants
86 - "write_verilog" to write initial statement for initial flop state
87 - Added pmgen pattern matcher generator
88 - Fixed opt_rmdff handling of $_DFFSR_???_ and $_DLATCHSR_???_
89 - Added "setundef -params" to replace undefined cell parameters
90 - Renamed "yosys -D" to "yosys -U", added "yosys -D" to set Verilog defines
91 - Fixed handling of defparam when default_nettype is none
92 - Fixed "wreduce" flipflop handling
93 - Fixed FIRRTL to Verilog process instance subfield assignment
94 - Added "write_verilog -siminit"
95 - Several fixes and improvements for mem2reg memories
96 - Fixed handling of task output ports in clocked always blocks
97 - Improved handling of and-with-1 and or-with-0 in "opt_expr"
98 - Added "read_aiger" frontend
99 - Added "mutate" pass
100 - Added "hdlname" attribute
101 - Added "rename -output"
102 - Added "read_ilang -lib"
103 - Improved "proc" full_case detection and handling
104 - Added "whitebox" and "lib_whitebox" attributes
105 - Added "read_verilog -nowb", "flatten -wb" and "wbflip"
106 - Added Python bindings and support for Python plug-ins
107 - Added "pmux2shiftx"
108 - Added log_debug framework for reduced default verbosity
109 - Improved "opt_expr" and "opt_clean" handling of (partially) undriven and/or unused wires
110 - Added "peepopt" peephole optimisation pass using pmgen
111 - Added approximate support for SystemVerilog "var" keyword
112 - Added parsing of "specify" blocks into $specrule and $specify[23]
113 - Added support for attributes on parameters and localparams
114 - Added support for parsing attributes on port connections
115 - Added "wreduce -keepdc"
116 - Added support for optimising $dffe and $_DFFE_* cells in "opt_rmdff"
117 - Added Verilog wand/wor wire type support
118 - Added support for elaboration system tasks
119 - Added "muxcover -mux{4,8,16}=<cost>"
120 - Added "muxcover -dmux=<cost>"
121 - Added "muxcover -nopartial"
122 - Added "muxpack" pass
123 - Added "pmux2shiftx -norange"
124 - Added support for "~" in filename parsing
125 - Added "read_verilog -pwires" feature to turn parameters into wires
126 - Fixed sign extension of unsized constants with 'bx and 'bz MSB
127 - Fixed genvar to be a signed type
128 - Added support for attributes on case rules
129 - Added "upto" and "offset" to JSON frontend and backend
130 - Several liberty file parser improvements
131 - Fixed handling of more complex BRAM patterns
132 - Add "write_aiger -I -O -B"
133
134 * Formal Verification
135 - Added $changed support to read_verilog
136 - Added "read_verilog -noassert -noassume -assert-assumes"
137 - Added btor ops for $mul, $div, $mod and $concat
138 - Added yosys-smtbmc support for btor witnesses
139 - Added "supercover" pass
140 - Fixed $global_clock handling vs autowire
141 - Added $dffsr support to "async2sync"
142 - Added "fmcombine" pass
143 - Added memory init support in "write_btor"
144 - Added "cutpoint" pass
145 - Changed "ne" to "neq" in btor2 output
146 - Added support for SVA "final" keyword
147 - Added "fmcombine -initeq -anyeq"
148 - Added timescale and generated-by header to yosys-smtbmc vcd output
149 - Improved BTOR2 handling of undriven wires
150
151 * Verific support
152 - Enabled Verific flags vhdl_support_variable_slice and veri_elaborate_top_level_modules_having_interface_ports
153 - Improved support for asymmetric memories
154 - Added "verific -chparam"
155 - Fixed "verific -extnets" for more complex situations
156 - Added "read -verific" and "read -noverific"
157 - Added "hierarchy -chparam"
158
159 * New back-ends
160 - Added initial Anlogic support
161 - Added initial SmartFusion2 and IGLOO2 support
162
163 * ECP5 support
164 - Added "synth_ecp5 -nowidelut"
165 - Added BRAM inference support to "synth_ecp5"
166 - Added support for transforming Diamond IO and flipflop primitives
167
168 * iCE40 support
169 - Added "ice40_unlut" pass
170 - Added "synth_ice40 -relut"
171 - Added "synth_ice40 -noabc"
172 - Added "synth_ice40 -dffe_min_ce_use"
173 - Added DSP inference support using pmgen
174 - Added support for initialising BRAM primitives from a file
175 - Added iCE40 Ultra RGB LED driver cells
176
177 * Xilinx support
178 - Use "write_edif -pvector bra" for Xilinx EDIF files
179 - Fixes for VPR place and route support with "synth_xilinx"
180 - Added more cell simulation models
181 - Added "synth_xilinx -family"
182 - Added "stat -tech xilinx" to estimate logic cell usage
183 - Added "synth_xilinx -nocarry"
184 - Added "synth_xilinx -nowidelut"
185 - "synth_xilinx" to now infer hard shift registers (-nosrl to disable)
186 - Added support for mapping RAM32X1D
187
188 Yosys 0.7 .. Yosys 0.8
189 ----------------------
190
191 * Various
192 - Many bugfixes and small improvements
193 - Strip debug symbols from installed binary
194 - Replace -ignore_redef with -[no]overwrite in front-ends
195 - Added write_verilog hex dump support, add -nohex option
196 - Added "write_verilog -decimal"
197 - Added "scc -set_attr"
198 - Added "verilog_defines" command
199 - Remember defines from one read_verilog to next
200 - Added support for hierarchical defparam
201 - Added FIRRTL back-end
202 - Improved ABC default scripts
203 - Added "design -reset-vlog"
204 - Added "yosys -W regex", "yosys -w regex", and "yosys -e regex"
205 - Added Verilog $rtoi and $itor support
206 - Added "check -initdrv"
207 - Added "read_blif -wideports"
208 - Added support for SystemVerilog "++" and "--" operators
209 - Added support for SystemVerilog unique, unique0, and priority case
210 - Added "write_edif" options for edif "flavors"
211 - Added support for resetall compiler directive
212 - Added simple C beck-end (bitwise combinatorical only atm)
213 - Added $_ANDNOT_ and $_ORNOT_ cell types
214 - Added cell library aliases to "abc -g"
215 - Added "setundef -anyseq"
216 - Added "chtype" command
217 - Added "design -import"
218 - Added "write_table" command
219 - Added "read_json" command
220 - Added "sim" command
221 - Added "extract_fa" and "extract_reduce" commands
222 - Added "extract_counter" command
223 - Added "opt_demorgan" command
224 - Added support for $size and $bits SystemVerilog functions
225 - Added "blackbox" command
226 - Added "ltp" command
227 - Added support for editline as replacement for readline
228 - Added warnings for driver-driver conflicts between FFs (and other cells) and constants
229 - Added "yosys -E" for creating Makefile dependencies files
230 - Added "synth -noshare"
231 - Added "memory_nordff"
232 - Added "setundef -undef -expose -anyconst"
233 - Added "expose -input"
234 - Added specify/specparam parser support (simply ignore them)
235 - Added "write_blif -inames -iattr"
236 - Added "hierarchy -simcheck"
237 - Added an option to statically link abc into yosys
238 - Added protobuf back-end
239 - Added BLIF parsing support for .conn and .cname
240 - Added read_verilog error checking for reg/wire/logic misuse
241 - Added "make coverage" and ENABLE_GCOV build option
242
243 * Changes in Yosys APIs
244 - Added ConstEval defaultval feature
245 - Added {get,set}_src_attribute() methods on RTLIL::AttrObject
246 - Added SigSpec::is_fully_ones() and Const::is_fully_ones()
247 - Added log_file_warning() and log_file_error() functions
248
249 * Formal Verification
250 - Added "write_aiger"
251 - Added "yosys-smtbmc --aig"
252 - Added "always <positive_int>" to .smtc format
253 - Added $cover cell type and support for cover properties
254 - Added $fair/$live cell type and support for liveness properties
255 - Added smtbmc support for memory vcd dumping
256 - Added "chformal" command
257 - Added "write_smt2 -stbv" and "write_smt2 -stdt"
258 - Fix equiv_simple, old behavior now available with "equiv_simple -short"
259 - Change to Yices2 as default SMT solver (it is GPL now)
260 - Added "yosys-smtbmc --presat" (now default in SymbiYosys)
261 - Added "yosys-smtbmc --smtc-init --smtc-top --noinit"
262 - Added a brand new "write_btor" command for BTOR2
263 - Added clk2fflogic memory support and other improvements
264 - Added "async memory write" support to write_smt2
265 - Simulate clock toggling in yosys-smtbmc VCD output
266 - Added $allseq/$allconst cells for EA-solving
267 - Make -nordff the default in "prep"
268 - Added (* gclk *) attribute
269 - Added "async2sync" pass for single-clock designs with async resets
270
271 * Verific support
272 - Many improvements in Verific front-end
273 - Added proper handling of concurent SVA properties
274 - Map "const" and "rand const" to $anyseq/$anyconst
275 - Added "verific -import -flatten" and "verific -import -extnets"
276 - Added "verific -vlog-incdir -vlog-define -vlog-libdir"
277 - Remove PSL support (because PSL has been removed in upstream Verific)
278 - Improve integration with "hierarchy" command design elaboration
279 - Added YOSYS_NOVERIFIC for running non-verific test cases with verific bin
280 - Added simpilied "read" command that automatically uses verific if available
281 - Added "verific -set-<severity> <msg_id>.."
282 - Added "verific -work <libname>"
283
284 * New back-ends
285 - Added initial Coolrunner-II support
286 - Added initial eASIC support
287 - Added initial ECP5 support
288
289 * GreenPAK Support
290 - Added support for GP_DLATCH, GP_SPI, GP_DCMx, GP_COUNTx, etc.
291
292 * iCE40 Support
293 - Add "synth_ice40 -vpr"
294 - Add "synth_ice40 -nodffe"
295 - Add "synth_ice40 -json"
296 - Add Support for UltraPlus cells
297
298 * MAX10 and Cyclone IV Support
299 - Added initial version of metacommand "synth_intel".
300 - Improved write_verilog command to produce VQM netlist for Quartus Prime.
301 - Added support for MAX10 FPGA family synthesis.
302 - Added support for Cyclone IV family synthesis.
303 - Added example of implementation for DE2i-150 board.
304 - Added example of implementation for MAX10 development kit.
305 - Added LFSR example from Asic World.
306 - Added "dffinit -highlow" for mapping to Intel primitives
307
308
309 Yosys 0.6 .. Yosys 0.7
310 ----------------------
311
312 * Various
313 - Added "yosys -D" feature
314 - Added support for installed plugins in $(DATDIR)/plugins/
315 - Renamed opt_const to opt_expr
316 - Renamed opt_share to opt_merge
317 - Added "prep -flatten" and "synth -flatten"
318 - Added "prep -auto-top" and "synth -auto-top"
319 - Using "mfs" and "lutpack" in ABC lut mapping
320 - Support for abstract modules in chparam
321 - Cleanup abstract modules at end of "hierarchy -top"
322 - Added tristate buffer support to iopadmap
323 - Added opt_expr support for div/mod by power-of-two
324 - Added "select -assert-min <N> -assert-max <N>"
325 - Added "attrmvcp" pass
326 - Added "attrmap" command
327 - Added "tee +INT -INT"
328 - Added "zinit" pass
329 - Added "setparam -type"
330 - Added "shregmap" pass
331 - Added "setundef -init"
332 - Added "nlutmap -assert"
333 - Added $sop cell type and "abc -sop -I <num> -P <num>"
334 - Added "dc2" to default ABC scripts
335 - Added "deminout"
336 - Added "insbuf" command
337 - Added "prep -nomem"
338 - Added "opt_rmdff -keepdc"
339 - Added "prep -nokeepdc"
340 - Added initial version of "synth_gowin"
341 - Added "fsm_expand -full"
342 - Added support for fsm_encoding="user"
343 - Many improvements in GreenPAK4 support
344 - Added black box modules for all Xilinx 7-series lib cells
345 - Added synth_ice40 support for latches via logic loops
346 - Fixed ice40_opt lut unmapping, added "ice40_opt -unlut"
347
348 * Build System
349 - Added ABCEXTERNAL and ABCURL make variables
350 - Added BINDIR, LIBDIR, and DATDIR make variables
351 - Added PKG_CONFIG make variable
352 - Added SEED make variable (for "make test")
353 - Added YOSYS_VER_STR make variable
354 - Updated min GCC requirement to GCC 4.8
355 - Updated required Bison version to Bison 3.x
356
357 * Internal APIs
358 - Added ast.h to exported headers
359 - Added ScriptPass helper class for script-like passes
360 - Added CellEdgesDatabase API
361
362 * Front-ends and Back-ends
363 - Added filename glob support to all front-ends
364 - Added avail (black-box) module params to ilang format
365 - Added $display %m support
366 - Added support for $stop Verilog system task
367 - Added support for SystemVerilog packages
368 - Fixed procedural assignments to non-unique lvalues, e.g. {y,y} = {a,b}
369 - Added support for "active high" and "active low" latches in read_blif and write_blif
370 - Use init value "2" for all uninitialized FFs in BLIF back-end
371 - Added "read_blif -sop"
372 - Added "write_blif -noalias"
373 - Added various write_blif options for VTR support
374 - write_json: also write module attributes.
375 - Added "write_verilog -nodec -nostr -defparam"
376 - Added "read_verilog -norestrict -assume-asserts"
377 - Added support for bus interfaces to "read_liberty -lib"
378 - Added liberty parser support for types within cell decls
379 - Added "write_verilog -renameprefix -v"
380 - Added "write_edif -nogndvcc"
381
382 * Formal Verification
383 - Support for hierarchical designs in smt2 back-end
384 - Yosys-smtbmc: Support for hierarchical VCD dumping
385 - Added $initstate cell type and vlog function
386 - Added $anyconst and $anyseq cell types and vlog functions
387 - Added printing of code loc of failed asserts to yosys-smtbmc
388 - Added memory_memx pass, "memory -memx", and "prep -memx"
389 - Added "proc_mux -ifx"
390 - Added "yosys-smtbmc -g"
391 - Deprecated "write_smt2 -regs" (by default on now)
392 - Made "write_smt2 -bv -mem" default, added "write_smt2 -nobv -nomem"
393 - Added support for memories to smtio.py
394 - Added "yosys-smtbmc --dump-vlogtb"
395 - Added "yosys-smtbmc --smtc --dump-smtc"
396 - Added "yosys-smtbmc --dump-all"
397 - Added assertpmux command
398 - Added "yosys-smtbmc --unroll"
399 - Added $past, $stable, $rose, $fell SVA functions
400 - Added "yosys-smtbmc --noinfo and --dummy"
401 - Added "yosys-smtbmc --noincr"
402 - Added "yosys-smtbmc --cex <filename>"
403 - Added $ff and $_FF_ cell types
404 - Added $global_clock verilog syntax support for creating $ff cells
405 - Added clk2fflogic
406
407
408 Yosys 0.5 .. Yosys 0.6
409 ----------------------
410
411 * Various
412 - Added Contributor Covenant Code of Conduct
413 - Various improvements in dict<> and pool<>
414 - Added hashlib::mfp and refactored SigMap
415 - Improved support for reals as module parameters
416 - Various improvements in SMT2 back-end
417 - Added "keep_hierarchy" attribute
418 - Verilog front-end: define `BLACKBOX in -lib mode
419 - Added API for converting internal cells to AIGs
420 - Added ENABLE_LIBYOSYS Makefile option
421 - Removed "techmap -share_map" (use "-map +/filename" instead)
422 - Switched all Python scripts to Python 3
423 - Added support for $display()/$write() and $finish() to Verilog front-end
424 - Added "yosys-smtbmc" formal verification flow
425 - Added options for clang sanitizers to Makefile
426
427 * New commands and options
428 - Added "scc -expect <N> -nofeedback"
429 - Added "proc_dlatch"
430 - Added "check"
431 - Added "select %xe %cie %coe %M %C %R"
432 - Added "sat -dump_json" (WaveJSON format)
433 - Added "sat -tempinduct-baseonly -tempinduct-inductonly"
434 - Added "sat -stepsize" and "sat -tempinduct-step"
435 - Added "sat -show-regs -show-public -show-all"
436 - Added "write_json" (Native Yosys JSON format)
437 - Added "write_blif -attr"
438 - Added "dffinit"
439 - Added "chparam"
440 - Added "muxcover"
441 - Added "pmuxtree"
442 - Added memory_bram "make_outreg" feature
443 - Added "splice -wires"
444 - Added "dff2dffe -direct-match"
445 - Added simplemap $lut support
446 - Added "read_blif"
447 - Added "opt_share -share_all"
448 - Added "aigmap"
449 - Added "write_smt2 -mem -regs -wires"
450 - Added "memory -nordff"
451 - Added "write_smv"
452 - Added "synth -nordff -noalumacc"
453 - Added "rename -top new_name"
454 - Added "opt_const -clkinv"
455 - Added "synth -nofsm"
456 - Added "miter -assert"
457 - Added "read_verilog -noautowire"
458 - Added "read_verilog -nodpi"
459 - Added "tribuf"
460 - Added "lut2mux"
461 - Added "nlutmap"
462 - Added "qwp"
463 - Added "test_cell -noeval"
464 - Added "edgetypes"
465 - Added "equiv_struct"
466 - Added "equiv_purge"
467 - Added "equiv_mark"
468 - Added "equiv_add -try -cell"
469 - Added "singleton"
470 - Added "abc -g -luts"
471 - Added "torder"
472 - Added "write_blif -cname"
473 - Added "submod -copy"
474 - Added "dffsr2dff"
475 - Added "stat -liberty"
476
477 * Synthesis metacommands
478 - Various improvements in synth_xilinx
479 - Added synth_ice40 and synth_greenpak4
480 - Added "prep" metacommand for "synthesis lite"
481
482 * Cell library changes
483 - Added cell types to "help" system
484 - Added $meminit cell type
485 - Added $assume cell type
486 - Added $_MUX4_, $_MUX8_, and $_MUX16_ cells
487 - Added $tribuf and $_TBUF_ cell types
488 - Added read-enable to memory model
489
490 * YosysJS
491 - Various improvements in emscripten build
492 - Added alternative webworker-based JS API
493 - Added a few example applications
494
495
496 Yosys 0.4 .. Yosys 0.5
497 ----------------------
498
499 * API changes
500 - Added log_warning()
501 - Added eval_select_args() and eval_select_op()
502 - Added cell->known(), cell->input(portname), cell->output(portname)
503 - Skip blackbox modules in design->selected_modules()
504 - Replaced std::map<> and std::set<> with dict<> and pool<>
505 - New SigSpec::extend() is what used to be SigSpec::extend_u0()
506 - Added YS_OVERRIDE, YS_FINAL, YS_ATTRIBUTE, YS_NORETURN
507
508 * Cell library changes
509 - Added flip-flops with enable ($dffe etc.)
510 - Added $equiv cells for equivalence checking framework
511
512 * Various
513 - Updated ABC to hg rev 61ad5f908c03
514 - Added clock domain partitioning to ABC pass
515 - Improved plugin building (see "yosys-config --build")
516 - Added ENABLE_NDEBUG Makefile flag for high-performance builds
517 - Added "yosys -d", "yosys -L" and other driver improvements
518 - Added support for multi-bit (array) cell ports to "write_edif"
519 - Now printing most output to stdout, not stderr
520 - Added "onehot" attribute (set by "fsm_map")
521 - Various performance improvements
522 - Vastly improved Xilinx flow
523 - Added "make unsintall"
524
525 * Equivalence checking
526 - Added equivalence checking commands:
527 equiv_make equiv_simple equiv_status
528 equiv_induct equiv_miter
529 equiv_add equiv_remove
530
531 * Block RAM support:
532 - Added "memory_bram" command
533 - Added BRAM support to Xilinx flow
534
535 * Other New Commands and Options
536 - Added "dff2dffe"
537 - Added "fsm -encfile"
538 - Added "dfflibmap -prepare"
539 - Added "write_blid -unbuf -undef -blackbox"
540 - Added "write_smt2" for writing SMT-LIBv2 files
541 - Added "test_cell -w -muxdiv"
542 - Added "select -read"
543
544
545 Yosys 0.3.0 .. Yosys 0.4
546 ------------------------
547
548 * Platform Support
549 - Added support for mxe-based cross-builds for win32
550 - Added sourcecode-export as VisualStudio project
551 - Added experimental EMCC (JavaScript) support
552
553 * Verilog Frontend
554 - Added -sv option for SystemVerilog (and automatic *.sv file support)
555 - Added support for real-valued constants and constant expressions
556 - Added support for non-standard "via_celltype" attribute on task/func
557 - Added support for non-standard "module mod_name(...);" syntax
558 - Added support for non-standard """ macro bodies
559 - Added support for array with more than one dimension
560 - Added support for $readmemh and $readmemb
561 - Added support for DPI functions
562
563 * Changes in internal cell library
564 - Added $shift and $shiftx cell types
565 - Added $alu, $lcu, $fa and $macc cell types
566 - Removed $bu0 and $safe_pmux cell types
567 - $mem/$memwr WR_EN input is now a per-data-bit enable signal
568 - Added $_NAND_ $_NOR_ $_XNOR_ $_AOI3_ $_OAI3_ $_AOI4_ $_OAI4_
569 - Renamed ports of $lut cells (from I->O to A->Y)
570 - Renamed $_INV_ to $_NOT_
571
572 * Changes for simple synthesis flows
573 - There is now a "synth" command with a recommended default script
574 - Many improvements in synthesis of arithmetic functions to gates
575 - Multipliers and adders with many operands are using carry-save adder trees
576 - Remaining adders are now implemented using Brent-Kung carry look-ahead adders
577 - Various new high-level optimizations on RTL netlist
578 - Various improvements in FSM optimization
579 - Updated ABC to hg 5b5af75f1dda (from 2014-11-07)
580
581 * Changes in internal APIs and RTLIL
582 - Added log_id() and log_cell() helper functions
583 - Added function-like cell creation helpers
584 - Added GetSize() function (like .size() but with int)
585 - Major refactoring of RTLIL::Module and related classes
586 - Major refactoring of RTLIL::SigSpec and related classes
587 - Now RTLIL::IdString is essentially an int
588 - Added macros for code coverage counters
589 - Added some Makefile magic for pretty make logs
590 - Added "kernel/yosys.h" with all the core definitions
591 - Changed a lot of code from FILE* to c++ streams
592 - Added RTLIL::Monitor API and "trace" command
593 - Added "Yosys" C++ namespace
594
595 * Changes relevant to SAT solving
596 - Added ezSAT::keep_cnf() and ezSAT::non_incremental()
597 - Added native ezSAT support for vector shift ops
598 - Updated MiniSAT to git 37dc6c67e2 (from 2013-09-25)
599
600 * New commands (or large improvements to commands)
601 - Added "synth" command with default script
602 - Added "share" (finally some real resource sharing)
603 - Added "memory_share" (reduce number of ports on memories)
604 - Added "wreduce" and "alumacc" commands
605 - Added "opt -keepdc -fine -full -fast"
606 - Added some "test_*" commands
607
608 * Various other changes
609 - Added %D and %c select operators
610 - Added support for labels in yosys scripts
611 - Added support for here-documents in yosys scripts
612 - Support "+/" prefix for files from proc_share_dir
613 - Added "autoidx" statement to ilang language
614 - Switched from "yosys-svgviewer" to "xdot"
615 - Renamed "stdcells.v" to "techmap.v"
616 - Various bug fixes and small improvements
617 - Improved welcome and bye messages
618
619
620 Yosys 0.2.0 .. Yosys 0.3.0
621 --------------------------
622
623 * Driver program and overall behavior:
624 - Added "design -push" and "design -pop"
625 - Added "tee" command for redirecting log output
626
627 * Changes in the internal cell library:
628 - Added $dlatchsr and $_DLATCHSR_???_ cell types
629
630 * Improvements in Verilog frontend:
631 - Improved support for const functions (case, always, repeat)
632 - The generate..endgenerate keywords are now optional
633 - Added support for arrays of module instances
634 - Added support for "`default_nettype" directive
635 - Added support for "`line" directive
636
637 * Other front- and back-ends:
638 - Various changes to "write_blif" options
639 - Various improvements in EDIF backend
640 - Added "vhdl2verilog" pseudo-front-end
641 - Added "verific" pseudo-front-end
642
643 * Improvements in technology mapping:
644 - Added support for recursive techmap
645 - Added CONSTMSK and CONSTVAL features to techmap
646 - Added _TECHMAP_CONNMAP_*_ feature to techmap
647 - Added _TECHMAP_REPLACE_ feature to techmap
648 - Added "connwrappers" command for wrap-extract-unwrap method
649 - Added "extract -map %<design_name>" feature
650 - Added "extract -ignore_param ..." and "extract -ignore_parameters"
651 - Added "techmap -max_iter" option
652
653 * Improvements to "eval" and "sat" framework:
654 - Now include a copy of Minisat (with build fixes applied)
655 - Switched to Minisat::SimpSolver as SAT back-end
656 - Added "sat -dump_vcd" feature
657 - Added "sat -dump_cnf" feature
658 - Added "sat -initsteps <N>" feature
659 - Added "freduce -stop <N>" feature
660 - Added "freduce -dump <prefix>" feature
661
662 * Integration with ABC:
663 - Updated ABC rev to 7600ffb9340c
664
665 * Improvements in the internal APIs:
666 - Added RTLIL::Module::add... helper methods
667 - Various build fixes for OSX (Darwin) and OpenBSD
668
669
670 Yosys 0.1.0 .. Yosys 0.2.0
671 --------------------------
672
673 * Changes to the driver program:
674 - Added "yosys -h" and "yosys -H"
675 - Added support for backslash line continuation in scripts
676 - Added support for #-comments in same line as command
677 - Added "echo" and "log" commands
678
679 * Improvements in Verilog frontend:
680 - Added support for local registers in named blocks
681 - Added support for "case" in "generate" blocks
682 - Added support for $clog2 system function
683 - Added support for basic SystemVerilog assert statements
684 - Added preprocessor support for macro arguments
685 - Added preprocessor support for `elsif statement
686 - Added "verilog_defaults" command
687 - Added read_verilog -icells option
688 - Added support for constant sizes from parameters
689 - Added "read_verilog -setattr"
690 - Added support for function returning 'integer'
691 - Added limited support for function calls in parameter values
692 - Added "read_verilog -defer" to suppress evaluation of modules with default parameters
693
694 * Other front- and back-ends:
695 - Added BTOR backend
696 - Added Liberty frontend
697
698 * Improvements in technology mapping:
699 - The "dfflibmap" command now strongly prefers solutions with
700 no inverters in clock paths
701 - The "dfflibmap" command now prefers cells with smaller area
702 - Added support for multiple -map options to techmap
703 - Added "dfflibmap" support for //-comments in liberty files
704 - Added "memory_unpack" command to revert "memory_collect"
705 - Added standard techmap rule "techmap -share_map pmux2mux.v"
706 - Added "iopadmap -bits"
707 - Added "setundef" command
708 - Added "hilomap" command
709
710 * Changes in the internal cell library:
711 - Major rewrite of simlib.v for better compatibility with other tools
712 - Added PRIORITY parameter to $memwr cells
713 - Added TRANSPARENT parameter to $memrd cells
714 - Added RD_TRANSPARENT parameter to $mem cells
715 - Added $bu0 cell (always 0-extend, even undef MSB)
716 - Added $assert cell type
717 - Added $slice and $concat cell types
718
719 * Integration with ABC:
720 - Updated ABC to hg rev 2058c8ccea68
721 - Tighter integration of ABC build with Yosys build. The make
722 targets 'make abc' and 'make install-abc' are now obsolete.
723 - Added support for passing FFs from one clock domain through ABC
724 - Now always use BLIF as exchange format with ABC
725 - Added support for "abc -script +<command_sequence>"
726 - Improved standard ABC recipe
727 - Added support for "keep" attribute to abc command
728 - Added "abc -dff / -clk / -keepff" options
729
730 * Improvements to "eval" and "sat" framework:
731 - Added support for "0" and "~0" in right-hand side -set expressions
732 - Added "eval -set-undef" and "eval -table"
733 - Added "sat -set-init" and "sat -set-init-*" for sequential problems
734 - Added undef support to SAT solver, incl. various new "sat" options
735 - Added correct support for === and !== for "eval" and "sat"
736 - Added "sat -tempinduct" (default -seq is now non-induction sequential)
737 - Added "sat -prove-asserts"
738 - Complete rewrite of the 'freduce' command
739 - Added "miter" command
740 - Added "sat -show-inputs" and "sat -show-outputs"
741 - Added "sat -ignore_unknown_cells" (now produce an error by default)
742 - Added "sat -falsify"
743 - Now "sat -verify" and "sat -falsify" can also be used without "-prove"
744 - Added "expose" command
745 - Added support for @<sel_name> to sat and eval signal expressions
746
747 * Changes in the 'make test' framework and auxiliary test tools:
748 - Added autotest.sh -p and -f options
749 - Replaced autotest.sh ISIM support with XSIM support
750 - Added test cases for SAT framework
751
752 * Added "abbreviated IDs":
753 - Now $<something>$foo can be abbreviated as $foo.
754 - Usually this last part is a unique id (from RTLIL::autoidx)
755 - This abbreviated IDs are now also used in "show" output
756
757 * Other changes to selection framework:
758 - Now */ is optional in */<mode>:<arg> expressions
759 - Added "select -assert-none" and "select -assert-any"
760 - Added support for matching modules by attribute (A:<expr>)
761 - Added "select -none"
762 - Added support for r:<expr> pattern for matching cell parameters
763 - Added support for !=, <, <=, >=, > for attribute and parameter matching
764 - Added support for %s for selecting sub-modules
765 - Added support for %m for expanding selections to whole modules
766 - Added support for i:*, o:* and x:* pattern for selecting module ports
767 - Added support for s:<expr> pattern for matching wire width
768 - Added support for %a operation to select wire aliases
769
770 * Various other changes to commands and options:
771 - The "ls" command now supports wildcards
772 - Added "show -pause" and "show -format dot"
773 - Added "show -color" support for cells
774 - Added "show -label" and "show -notitle"
775 - Added "dump -m" and "dump -n"
776 - Added "history" command
777 - Added "rename -hide"
778 - Added "connect" command
779 - Added "splitnets -driver"
780 - Added "opt_const -mux_undef"
781 - Added "opt_const -mux_bool"
782 - Added "opt_const -undriven"
783 - Added "opt -mux_undef -mux_bool -undriven -purge"
784 - Added "hierarchy -libdir"
785 - Added "hierarchy -purge_lib" (by default now do not remove lib cells)
786 - Added "delete" command
787 - Added "dump -append"
788 - Added "setattr" and "setparam" commands
789 - Added "design -stash/-copy-from/-copy-to"
790 - Added "copy" command
791 - Added "splice" command
792