Update CHANGELOG
[yosys.git] / CHANGELOG
1
2 List of major changes and improvements between releases
3 =======================================================
4
5
6 Yosys 0.9 .. Yosys 0.9-dev
7 --------------------------
8
9 * Various
10 - Added "write_xaiger" backend
11 - Added "abc9" pass for timing-aware techmapping (experimental, FPGA only, no FFs)
12 - Added "synth_xilinx -abc9" (experimental)
13 - Added "synth_ice40 -abc9" (experimental)
14 - Added "synth -abc9" (experimental)
15 - Added "script -scriptwire"
16 - Added "synth_xilinx -nocarry"
17 - Added "synth_xilinx -nowidelut"
18 - Added "synth_ecp5 -nowidelut"
19 - "synth_xilinx" to now infer wide multiplexers (-widemux <min> to enable)
20 - Renamed labels/options in synth_ice40 (e.g. dram -> map_lutram; -nodram -> -nolutram)
21 - Renamed labels/options in synth_ecp5 (e.g. dram -> map_lutram; -nodram -> -nolutram)
22 - Renamed labels in synth_intel (e.g. bram -> map_bram)
23 - Renamed labels/options in synth_xilinx (e.g. dram -> map_lutram; -nodram -> -nolutram)
24 - Added automatic gzip decompression for frontends
25 - Added $_NMUX_ cell type
26 - Added automatic gzip compression (based on filename extension) for backends
27 - Improve attribute and parameter encoding in JSON to avoid ambiguities between
28 bit vectors and strings containing [01xz]*
29 - Added "clkbufmap" pass
30 - Added "synth_xilinx -family xc6s" for Spartan 6 support (experimental)
31 - Added "synth_xilinx -ise" (experimental)
32 - Added "synth_xilinx -iopad"
33 - "synth_xilinx" now automatically inserts clock buffers (add -noclkbuf to disable)
34 - Improvements in pmgen: subpattern and recursive matches
35 - Added "opt_share" pass, run as part of "opt -full"
36 - Added "ice40_wrapcarry" to encapsulate SB_LUT+SB_CARRY pairs for techmapping
37 - Removed "ice40_unlut"
38 - Improvements in pmgen: slices, choices, define, generate
39 - Added "xilinx_srl" for Xilinx shift register extraction
40 - Removed "shregmap -tech xilinx" (superseded by "xilinx_srl")
41 - Added +/mul2dsp.v for decomposing wide multipliers to custom-sized ones
42 - Added "xilinx_dsp" for Xilinx DSP packing
43 - "synth_xilinx" to now infer DSP blocks (-nodsp to disable)
44 - "synth_ecp5" to now infer DSP blocks (-nodsp to disable, experimental)
45 - "synth_ice40 -dsp" to infer DSP blocks
46
47 Yosys 0.8 .. Yosys 0.9
48 ----------------------
49
50 * Various
51 - Many bugfixes and small improvements
52 - Added support for SystemVerilog interfaces and modports
53 - Added "write_edif -attrprop"
54 - Added "opt_lut" pass
55 - Added "gate2lut.v" techmap rule
56 - Added "rename -src"
57 - Added "equiv_opt" pass
58 - Added "flowmap" LUT mapping pass
59 - Added "rename -wire" to rename cells based on the wires they drive
60 - Added "bugpoint" for creating minimised testcases
61 - Added "write_edif -gndvccy"
62 - "write_verilog" to escape Verilog keywords
63 - Fixed sign handling of real constants
64 - "write_verilog" to write initial statement for initial flop state
65 - Added pmgen pattern matcher generator
66 - Fixed opt_rmdff handling of $_DFFSR_???_ and $_DLATCHSR_???_
67 - Added "setundef -params" to replace undefined cell parameters
68 - Renamed "yosys -D" to "yosys -U", added "yosys -D" to set Verilog defines
69 - Fixed handling of defparam when default_nettype is none
70 - Fixed "wreduce" flipflop handling
71 - Fixed FIRRTL to Verilog process instance subfield assignment
72 - Added "write_verilog -siminit"
73 - Several fixes and improvements for mem2reg memories
74 - Fixed handling of task output ports in clocked always blocks
75 - Improved handling of and-with-1 and or-with-0 in "opt_expr"
76 - Added "read_aiger" frontend
77 - Added "mutate" pass
78 - Added "hdlname" attribute
79 - Added "rename -output"
80 - Added "read_ilang -lib"
81 - Improved "proc" full_case detection and handling
82 - Added "whitebox" and "lib_whitebox" attributes
83 - Added "read_verilog -nowb", "flatten -wb" and "wbflip"
84 - Added Python bindings and support for Python plug-ins
85 - Added "pmux2shiftx"
86 - Added log_debug framework for reduced default verbosity
87 - Improved "opt_expr" and "opt_clean" handling of (partially) undriven and/or unused wires
88 - Added "peepopt" peephole optimisation pass using pmgen
89 - Added approximate support for SystemVerilog "var" keyword
90 - Added parsing of "specify" blocks into $specrule and $specify[23]
91 - Added support for attributes on parameters and localparams
92 - Added support for parsing attributes on port connections
93 - Added "wreduce -keepdc"
94 - Added support for optimising $dffe and $_DFFE_* cells in "opt_rmdff"
95 - Added Verilog wand/wor wire type support
96 - Added support for elaboration system tasks
97 - Added "muxcover -mux{4,8,16}=<cost>"
98 - Added "muxcover -dmux=<cost>"
99 - Added "muxcover -nopartial"
100 - Added "muxpack" pass
101 - Added "pmux2shiftx -norange"
102 - Added support for "~" in filename parsing
103 - Added "read_verilog -pwires" feature to turn parameters into wires
104 - Fixed sign extension of unsized constants with 'bx and 'bz MSB
105 - Fixed genvar to be a signed type
106 - Added support for attributes on case rules
107 - Added "upto" and "offset" to JSON frontend and backend
108 - Several liberty file parser improvements
109 - Fixed handling of more complex BRAM patterns
110 - Add "write_aiger -I -O -B"
111
112 * Formal Verification
113 - Added $changed support to read_verilog
114 - Added "read_verilog -noassert -noassume -assert-assumes"
115 - Added btor ops for $mul, $div, $mod and $concat
116 - Added yosys-smtbmc support for btor witnesses
117 - Added "supercover" pass
118 - Fixed $global_clock handling vs autowire
119 - Added $dffsr support to "async2sync"
120 - Added "fmcombine" pass
121 - Added memory init support in "write_btor"
122 - Added "cutpoint" pass
123 - Changed "ne" to "neq" in btor2 output
124 - Added support for SVA "final" keyword
125 - Added "fmcombine -initeq -anyeq"
126 - Added timescale and generated-by header to yosys-smtbmc vcd output
127 - Improved BTOR2 handling of undriven wires
128
129 * Verific support
130 - Enabled Verific flags vhdl_support_variable_slice and veri_elaborate_top_level_modules_having_interface_ports
131 - Improved support for asymmetric memories
132 - Added "verific -chparam"
133 - Fixed "verific -extnets" for more complex situations
134 - Added "read -verific" and "read -noverific"
135 - Added "hierarchy -chparam"
136
137 * New back-ends
138 - Added initial Anlogic support
139 - Added initial SmartFusion2 and IGLOO2 support
140
141 * ECP5 support
142 - Added "synth_ecp5 -nowidelut"
143 - Added BRAM inference support to "synth_ecp5"
144 - Added support for transforming Diamond IO and flipflop primitives
145
146 * iCE40 support
147 - Added "ice40_unlut" pass
148 - Added "synth_ice40 -relut"
149 - Added "synth_ice40 -noabc"
150 - Added "synth_ice40 -dffe_min_ce_use"
151 - Added DSP inference support using pmgen
152 - Added support for initialising BRAM primitives from a file
153 - Added iCE40 Ultra RGB LED driver cells
154
155 * Xilinx support
156 - Use "write_edif -pvector bra" for Xilinx EDIF files
157 - Fixes for VPR place and route support with "synth_xilinx"
158 - Added more cell simulation models
159 - Added "synth_xilinx -family"
160 - Added "stat -tech xilinx" to estimate logic cell usage
161 - Added "synth_xilinx -nocarry"
162 - Added "synth_xilinx -nowidelut"
163 - "synth_xilinx" to now infer hard shift registers (-nosrl to disable)
164 - Added support for mapping RAM32X1D
165
166 Yosys 0.7 .. Yosys 0.8
167 ----------------------
168
169 * Various
170 - Many bugfixes and small improvements
171 - Strip debug symbols from installed binary
172 - Replace -ignore_redef with -[no]overwrite in front-ends
173 - Added write_verilog hex dump support, add -nohex option
174 - Added "write_verilog -decimal"
175 - Added "scc -set_attr"
176 - Added "verilog_defines" command
177 - Remember defines from one read_verilog to next
178 - Added support for hierarchical defparam
179 - Added FIRRTL back-end
180 - Improved ABC default scripts
181 - Added "design -reset-vlog"
182 - Added "yosys -W regex", "yosys -w regex", and "yosys -e regex"
183 - Added Verilog $rtoi and $itor support
184 - Added "check -initdrv"
185 - Added "read_blif -wideports"
186 - Added support for SystemVerilog "++" and "--" operators
187 - Added support for SystemVerilog unique, unique0, and priority case
188 - Added "write_edif" options for edif "flavors"
189 - Added support for resetall compiler directive
190 - Added simple C beck-end (bitwise combinatorical only atm)
191 - Added $_ANDNOT_ and $_ORNOT_ cell types
192 - Added cell library aliases to "abc -g"
193 - Added "setundef -anyseq"
194 - Added "chtype" command
195 - Added "design -import"
196 - Added "write_table" command
197 - Added "read_json" command
198 - Added "sim" command
199 - Added "extract_fa" and "extract_reduce" commands
200 - Added "extract_counter" command
201 - Added "opt_demorgan" command
202 - Added support for $size and $bits SystemVerilog functions
203 - Added "blackbox" command
204 - Added "ltp" command
205 - Added support for editline as replacement for readline
206 - Added warnings for driver-driver conflicts between FFs (and other cells) and constants
207 - Added "yosys -E" for creating Makefile dependencies files
208 - Added "synth -noshare"
209 - Added "memory_nordff"
210 - Added "setundef -undef -expose -anyconst"
211 - Added "expose -input"
212 - Added specify/specparam parser support (simply ignore them)
213 - Added "write_blif -inames -iattr"
214 - Added "hierarchy -simcheck"
215 - Added an option to statically link abc into yosys
216 - Added protobuf back-end
217 - Added BLIF parsing support for .conn and .cname
218 - Added read_verilog error checking for reg/wire/logic misuse
219 - Added "make coverage" and ENABLE_GCOV build option
220
221 * Changes in Yosys APIs
222 - Added ConstEval defaultval feature
223 - Added {get,set}_src_attribute() methods on RTLIL::AttrObject
224 - Added SigSpec::is_fully_ones() and Const::is_fully_ones()
225 - Added log_file_warning() and log_file_error() functions
226
227 * Formal Verification
228 - Added "write_aiger"
229 - Added "yosys-smtbmc --aig"
230 - Added "always <positive_int>" to .smtc format
231 - Added $cover cell type and support for cover properties
232 - Added $fair/$live cell type and support for liveness properties
233 - Added smtbmc support for memory vcd dumping
234 - Added "chformal" command
235 - Added "write_smt2 -stbv" and "write_smt2 -stdt"
236 - Fix equiv_simple, old behavior now available with "equiv_simple -short"
237 - Change to Yices2 as default SMT solver (it is GPL now)
238 - Added "yosys-smtbmc --presat" (now default in SymbiYosys)
239 - Added "yosys-smtbmc --smtc-init --smtc-top --noinit"
240 - Added a brand new "write_btor" command for BTOR2
241 - Added clk2fflogic memory support and other improvements
242 - Added "async memory write" support to write_smt2
243 - Simulate clock toggling in yosys-smtbmc VCD output
244 - Added $allseq/$allconst cells for EA-solving
245 - Make -nordff the default in "prep"
246 - Added (* gclk *) attribute
247 - Added "async2sync" pass for single-clock designs with async resets
248
249 * Verific support
250 - Many improvements in Verific front-end
251 - Added proper handling of concurent SVA properties
252 - Map "const" and "rand const" to $anyseq/$anyconst
253 - Added "verific -import -flatten" and "verific -import -extnets"
254 - Added "verific -vlog-incdir -vlog-define -vlog-libdir"
255 - Remove PSL support (because PSL has been removed in upstream Verific)
256 - Improve integration with "hierarchy" command design elaboration
257 - Added YOSYS_NOVERIFIC for running non-verific test cases with verific bin
258 - Added simpilied "read" command that automatically uses verific if available
259 - Added "verific -set-<severity> <msg_id>.."
260 - Added "verific -work <libname>"
261
262 * New back-ends
263 - Added initial Coolrunner-II support
264 - Added initial eASIC support
265 - Added initial ECP5 support
266
267 * GreenPAK Support
268 - Added support for GP_DLATCH, GP_SPI, GP_DCMx, GP_COUNTx, etc.
269
270 * iCE40 Support
271 - Add "synth_ice40 -vpr"
272 - Add "synth_ice40 -nodffe"
273 - Add "synth_ice40 -json"
274 - Add Support for UltraPlus cells
275
276 * MAX10 and Cyclone IV Support
277 - Added initial version of metacommand "synth_intel".
278 - Improved write_verilog command to produce VQM netlist for Quartus Prime.
279 - Added support for MAX10 FPGA family synthesis.
280 - Added support for Cyclone IV family synthesis.
281 - Added example of implementation for DE2i-150 board.
282 - Added example of implementation for MAX10 development kit.
283 - Added LFSR example from Asic World.
284 - Added "dffinit -highlow" for mapping to Intel primitives
285
286
287 Yosys 0.6 .. Yosys 0.7
288 ----------------------
289
290 * Various
291 - Added "yosys -D" feature
292 - Added support for installed plugins in $(DATDIR)/plugins/
293 - Renamed opt_const to opt_expr
294 - Renamed opt_share to opt_merge
295 - Added "prep -flatten" and "synth -flatten"
296 - Added "prep -auto-top" and "synth -auto-top"
297 - Using "mfs" and "lutpack" in ABC lut mapping
298 - Support for abstract modules in chparam
299 - Cleanup abstract modules at end of "hierarchy -top"
300 - Added tristate buffer support to iopadmap
301 - Added opt_expr support for div/mod by power-of-two
302 - Added "select -assert-min <N> -assert-max <N>"
303 - Added "attrmvcp" pass
304 - Added "attrmap" command
305 - Added "tee +INT -INT"
306 - Added "zinit" pass
307 - Added "setparam -type"
308 - Added "shregmap" pass
309 - Added "setundef -init"
310 - Added "nlutmap -assert"
311 - Added $sop cell type and "abc -sop -I <num> -P <num>"
312 - Added "dc2" to default ABC scripts
313 - Added "deminout"
314 - Added "insbuf" command
315 - Added "prep -nomem"
316 - Added "opt_rmdff -keepdc"
317 - Added "prep -nokeepdc"
318 - Added initial version of "synth_gowin"
319 - Added "fsm_expand -full"
320 - Added support for fsm_encoding="user"
321 - Many improvements in GreenPAK4 support
322 - Added black box modules for all Xilinx 7-series lib cells
323 - Added synth_ice40 support for latches via logic loops
324 - Fixed ice40_opt lut unmapping, added "ice40_opt -unlut"
325
326 * Build System
327 - Added ABCEXTERNAL and ABCURL make variables
328 - Added BINDIR, LIBDIR, and DATDIR make variables
329 - Added PKG_CONFIG make variable
330 - Added SEED make variable (for "make test")
331 - Added YOSYS_VER_STR make variable
332 - Updated min GCC requirement to GCC 4.8
333 - Updated required Bison version to Bison 3.x
334
335 * Internal APIs
336 - Added ast.h to exported headers
337 - Added ScriptPass helper class for script-like passes
338 - Added CellEdgesDatabase API
339
340 * Front-ends and Back-ends
341 - Added filename glob support to all front-ends
342 - Added avail (black-box) module params to ilang format
343 - Added $display %m support
344 - Added support for $stop Verilog system task
345 - Added support for SystemVerilog packages
346 - Fixed procedural assignments to non-unique lvalues, e.g. {y,y} = {a,b}
347 - Added support for "active high" and "active low" latches in read_blif and write_blif
348 - Use init value "2" for all uninitialized FFs in BLIF back-end
349 - Added "read_blif -sop"
350 - Added "write_blif -noalias"
351 - Added various write_blif options for VTR support
352 - write_json: also write module attributes.
353 - Added "write_verilog -nodec -nostr -defparam"
354 - Added "read_verilog -norestrict -assume-asserts"
355 - Added support for bus interfaces to "read_liberty -lib"
356 - Added liberty parser support for types within cell decls
357 - Added "write_verilog -renameprefix -v"
358 - Added "write_edif -nogndvcc"
359
360 * Formal Verification
361 - Support for hierarchical designs in smt2 back-end
362 - Yosys-smtbmc: Support for hierarchical VCD dumping
363 - Added $initstate cell type and vlog function
364 - Added $anyconst and $anyseq cell types and vlog functions
365 - Added printing of code loc of failed asserts to yosys-smtbmc
366 - Added memory_memx pass, "memory -memx", and "prep -memx"
367 - Added "proc_mux -ifx"
368 - Added "yosys-smtbmc -g"
369 - Deprecated "write_smt2 -regs" (by default on now)
370 - Made "write_smt2 -bv -mem" default, added "write_smt2 -nobv -nomem"
371 - Added support for memories to smtio.py
372 - Added "yosys-smtbmc --dump-vlogtb"
373 - Added "yosys-smtbmc --smtc --dump-smtc"
374 - Added "yosys-smtbmc --dump-all"
375 - Added assertpmux command
376 - Added "yosys-smtbmc --unroll"
377 - Added $past, $stable, $rose, $fell SVA functions
378 - Added "yosys-smtbmc --noinfo and --dummy"
379 - Added "yosys-smtbmc --noincr"
380 - Added "yosys-smtbmc --cex <filename>"
381 - Added $ff and $_FF_ cell types
382 - Added $global_clock verilog syntax support for creating $ff cells
383 - Added clk2fflogic
384
385
386 Yosys 0.5 .. Yosys 0.6
387 ----------------------
388
389 * Various
390 - Added Contributor Covenant Code of Conduct
391 - Various improvements in dict<> and pool<>
392 - Added hashlib::mfp and refactored SigMap
393 - Improved support for reals as module parameters
394 - Various improvements in SMT2 back-end
395 - Added "keep_hierarchy" attribute
396 - Verilog front-end: define `BLACKBOX in -lib mode
397 - Added API for converting internal cells to AIGs
398 - Added ENABLE_LIBYOSYS Makefile option
399 - Removed "techmap -share_map" (use "-map +/filename" instead)
400 - Switched all Python scripts to Python 3
401 - Added support for $display()/$write() and $finish() to Verilog front-end
402 - Added "yosys-smtbmc" formal verification flow
403 - Added options for clang sanitizers to Makefile
404
405 * New commands and options
406 - Added "scc -expect <N> -nofeedback"
407 - Added "proc_dlatch"
408 - Added "check"
409 - Added "select %xe %cie %coe %M %C %R"
410 - Added "sat -dump_json" (WaveJSON format)
411 - Added "sat -tempinduct-baseonly -tempinduct-inductonly"
412 - Added "sat -stepsize" and "sat -tempinduct-step"
413 - Added "sat -show-regs -show-public -show-all"
414 - Added "write_json" (Native Yosys JSON format)
415 - Added "write_blif -attr"
416 - Added "dffinit"
417 - Added "chparam"
418 - Added "muxcover"
419 - Added "pmuxtree"
420 - Added memory_bram "make_outreg" feature
421 - Added "splice -wires"
422 - Added "dff2dffe -direct-match"
423 - Added simplemap $lut support
424 - Added "read_blif"
425 - Added "opt_share -share_all"
426 - Added "aigmap"
427 - Added "write_smt2 -mem -regs -wires"
428 - Added "memory -nordff"
429 - Added "write_smv"
430 - Added "synth -nordff -noalumacc"
431 - Added "rename -top new_name"
432 - Added "opt_const -clkinv"
433 - Added "synth -nofsm"
434 - Added "miter -assert"
435 - Added "read_verilog -noautowire"
436 - Added "read_verilog -nodpi"
437 - Added "tribuf"
438 - Added "lut2mux"
439 - Added "nlutmap"
440 - Added "qwp"
441 - Added "test_cell -noeval"
442 - Added "edgetypes"
443 - Added "equiv_struct"
444 - Added "equiv_purge"
445 - Added "equiv_mark"
446 - Added "equiv_add -try -cell"
447 - Added "singleton"
448 - Added "abc -g -luts"
449 - Added "torder"
450 - Added "write_blif -cname"
451 - Added "submod -copy"
452 - Added "dffsr2dff"
453 - Added "stat -liberty"
454
455 * Synthesis metacommands
456 - Various improvements in synth_xilinx
457 - Added synth_ice40 and synth_greenpak4
458 - Added "prep" metacommand for "synthesis lite"
459
460 * Cell library changes
461 - Added cell types to "help" system
462 - Added $meminit cell type
463 - Added $assume cell type
464 - Added $_MUX4_, $_MUX8_, and $_MUX16_ cells
465 - Added $tribuf and $_TBUF_ cell types
466 - Added read-enable to memory model
467
468 * YosysJS
469 - Various improvements in emscripten build
470 - Added alternative webworker-based JS API
471 - Added a few example applications
472
473
474 Yosys 0.4 .. Yosys 0.5
475 ----------------------
476
477 * API changes
478 - Added log_warning()
479 - Added eval_select_args() and eval_select_op()
480 - Added cell->known(), cell->input(portname), cell->output(portname)
481 - Skip blackbox modules in design->selected_modules()
482 - Replaced std::map<> and std::set<> with dict<> and pool<>
483 - New SigSpec::extend() is what used to be SigSpec::extend_u0()
484 - Added YS_OVERRIDE, YS_FINAL, YS_ATTRIBUTE, YS_NORETURN
485
486 * Cell library changes
487 - Added flip-flops with enable ($dffe etc.)
488 - Added $equiv cells for equivalence checking framework
489
490 * Various
491 - Updated ABC to hg rev 61ad5f908c03
492 - Added clock domain partitioning to ABC pass
493 - Improved plugin building (see "yosys-config --build")
494 - Added ENABLE_NDEBUG Makefile flag for high-performance builds
495 - Added "yosys -d", "yosys -L" and other driver improvements
496 - Added support for multi-bit (array) cell ports to "write_edif"
497 - Now printing most output to stdout, not stderr
498 - Added "onehot" attribute (set by "fsm_map")
499 - Various performance improvements
500 - Vastly improved Xilinx flow
501 - Added "make unsintall"
502
503 * Equivalence checking
504 - Added equivalence checking commands:
505 equiv_make equiv_simple equiv_status
506 equiv_induct equiv_miter
507 equiv_add equiv_remove
508
509 * Block RAM support:
510 - Added "memory_bram" command
511 - Added BRAM support to Xilinx flow
512
513 * Other New Commands and Options
514 - Added "dff2dffe"
515 - Added "fsm -encfile"
516 - Added "dfflibmap -prepare"
517 - Added "write_blid -unbuf -undef -blackbox"
518 - Added "write_smt2" for writing SMT-LIBv2 files
519 - Added "test_cell -w -muxdiv"
520 - Added "select -read"
521
522
523 Yosys 0.3.0 .. Yosys 0.4
524 ------------------------
525
526 * Platform Support
527 - Added support for mxe-based cross-builds for win32
528 - Added sourcecode-export as VisualStudio project
529 - Added experimental EMCC (JavaScript) support
530
531 * Verilog Frontend
532 - Added -sv option for SystemVerilog (and automatic *.sv file support)
533 - Added support for real-valued constants and constant expressions
534 - Added support for non-standard "via_celltype" attribute on task/func
535 - Added support for non-standard "module mod_name(...);" syntax
536 - Added support for non-standard """ macro bodies
537 - Added support for array with more than one dimension
538 - Added support for $readmemh and $readmemb
539 - Added support for DPI functions
540
541 * Changes in internal cell library
542 - Added $shift and $shiftx cell types
543 - Added $alu, $lcu, $fa and $macc cell types
544 - Removed $bu0 and $safe_pmux cell types
545 - $mem/$memwr WR_EN input is now a per-data-bit enable signal
546 - Added $_NAND_ $_NOR_ $_XNOR_ $_AOI3_ $_OAI3_ $_AOI4_ $_OAI4_
547 - Renamed ports of $lut cells (from I->O to A->Y)
548 - Renamed $_INV_ to $_NOT_
549
550 * Changes for simple synthesis flows
551 - There is now a "synth" command with a recommended default script
552 - Many improvements in synthesis of arithmetic functions to gates
553 - Multipliers and adders with many operands are using carry-save adder trees
554 - Remaining adders are now implemented using Brent-Kung carry look-ahead adders
555 - Various new high-level optimizations on RTL netlist
556 - Various improvements in FSM optimization
557 - Updated ABC to hg 5b5af75f1dda (from 2014-11-07)
558
559 * Changes in internal APIs and RTLIL
560 - Added log_id() and log_cell() helper functions
561 - Added function-like cell creation helpers
562 - Added GetSize() function (like .size() but with int)
563 - Major refactoring of RTLIL::Module and related classes
564 - Major refactoring of RTLIL::SigSpec and related classes
565 - Now RTLIL::IdString is essentially an int
566 - Added macros for code coverage counters
567 - Added some Makefile magic for pretty make logs
568 - Added "kernel/yosys.h" with all the core definitions
569 - Changed a lot of code from FILE* to c++ streams
570 - Added RTLIL::Monitor API and "trace" command
571 - Added "Yosys" C++ namespace
572
573 * Changes relevant to SAT solving
574 - Added ezSAT::keep_cnf() and ezSAT::non_incremental()
575 - Added native ezSAT support for vector shift ops
576 - Updated MiniSAT to git 37dc6c67e2 (from 2013-09-25)
577
578 * New commands (or large improvements to commands)
579 - Added "synth" command with default script
580 - Added "share" (finally some real resource sharing)
581 - Added "memory_share" (reduce number of ports on memories)
582 - Added "wreduce" and "alumacc" commands
583 - Added "opt -keepdc -fine -full -fast"
584 - Added some "test_*" commands
585
586 * Various other changes
587 - Added %D and %c select operators
588 - Added support for labels in yosys scripts
589 - Added support for here-documents in yosys scripts
590 - Support "+/" prefix for files from proc_share_dir
591 - Added "autoidx" statement to ilang language
592 - Switched from "yosys-svgviewer" to "xdot"
593 - Renamed "stdcells.v" to "techmap.v"
594 - Various bug fixes and small improvements
595 - Improved welcome and bye messages
596
597
598 Yosys 0.2.0 .. Yosys 0.3.0
599 --------------------------
600
601 * Driver program and overall behavior:
602 - Added "design -push" and "design -pop"
603 - Added "tee" command for redirecting log output
604
605 * Changes in the internal cell library:
606 - Added $dlatchsr and $_DLATCHSR_???_ cell types
607
608 * Improvements in Verilog frontend:
609 - Improved support for const functions (case, always, repeat)
610 - The generate..endgenerate keywords are now optional
611 - Added support for arrays of module instances
612 - Added support for "`default_nettype" directive
613 - Added support for "`line" directive
614
615 * Other front- and back-ends:
616 - Various changes to "write_blif" options
617 - Various improvements in EDIF backend
618 - Added "vhdl2verilog" pseudo-front-end
619 - Added "verific" pseudo-front-end
620
621 * Improvements in technology mapping:
622 - Added support for recursive techmap
623 - Added CONSTMSK and CONSTVAL features to techmap
624 - Added _TECHMAP_CONNMAP_*_ feature to techmap
625 - Added _TECHMAP_REPLACE_ feature to techmap
626 - Added "connwrappers" command for wrap-extract-unwrap method
627 - Added "extract -map %<design_name>" feature
628 - Added "extract -ignore_param ..." and "extract -ignore_parameters"
629 - Added "techmap -max_iter" option
630
631 * Improvements to "eval" and "sat" framework:
632 - Now include a copy of Minisat (with build fixes applied)
633 - Switched to Minisat::SimpSolver as SAT back-end
634 - Added "sat -dump_vcd" feature
635 - Added "sat -dump_cnf" feature
636 - Added "sat -initsteps <N>" feature
637 - Added "freduce -stop <N>" feature
638 - Added "freduce -dump <prefix>" feature
639
640 * Integration with ABC:
641 - Updated ABC rev to 7600ffb9340c
642
643 * Improvements in the internal APIs:
644 - Added RTLIL::Module::add... helper methods
645 - Various build fixes for OSX (Darwin) and OpenBSD
646
647
648 Yosys 0.1.0 .. Yosys 0.2.0
649 --------------------------
650
651 * Changes to the driver program:
652 - Added "yosys -h" and "yosys -H"
653 - Added support for backslash line continuation in scripts
654 - Added support for #-comments in same line as command
655 - Added "echo" and "log" commands
656
657 * Improvements in Verilog frontend:
658 - Added support for local registers in named blocks
659 - Added support for "case" in "generate" blocks
660 - Added support for $clog2 system function
661 - Added support for basic SystemVerilog assert statements
662 - Added preprocessor support for macro arguments
663 - Added preprocessor support for `elsif statement
664 - Added "verilog_defaults" command
665 - Added read_verilog -icells option
666 - Added support for constant sizes from parameters
667 - Added "read_verilog -setattr"
668 - Added support for function returning 'integer'
669 - Added limited support for function calls in parameter values
670 - Added "read_verilog -defer" to suppress evaluation of modules with default parameters
671
672 * Other front- and back-ends:
673 - Added BTOR backend
674 - Added Liberty frontend
675
676 * Improvements in technology mapping:
677 - The "dfflibmap" command now strongly prefers solutions with
678 no inverters in clock paths
679 - The "dfflibmap" command now prefers cells with smaller area
680 - Added support for multiple -map options to techmap
681 - Added "dfflibmap" support for //-comments in liberty files
682 - Added "memory_unpack" command to revert "memory_collect"
683 - Added standard techmap rule "techmap -share_map pmux2mux.v"
684 - Added "iopadmap -bits"
685 - Added "setundef" command
686 - Added "hilomap" command
687
688 * Changes in the internal cell library:
689 - Major rewrite of simlib.v for better compatibility with other tools
690 - Added PRIORITY parameter to $memwr cells
691 - Added TRANSPARENT parameter to $memrd cells
692 - Added RD_TRANSPARENT parameter to $mem cells
693 - Added $bu0 cell (always 0-extend, even undef MSB)
694 - Added $assert cell type
695 - Added $slice and $concat cell types
696
697 * Integration with ABC:
698 - Updated ABC to hg rev 2058c8ccea68
699 - Tighter integration of ABC build with Yosys build. The make
700 targets 'make abc' and 'make install-abc' are now obsolete.
701 - Added support for passing FFs from one clock domain through ABC
702 - Now always use BLIF as exchange format with ABC
703 - Added support for "abc -script +<command_sequence>"
704 - Improved standard ABC recipe
705 - Added support for "keep" attribute to abc command
706 - Added "abc -dff / -clk / -keepff" options
707
708 * Improvements to "eval" and "sat" framework:
709 - Added support for "0" and "~0" in right-hand side -set expressions
710 - Added "eval -set-undef" and "eval -table"
711 - Added "sat -set-init" and "sat -set-init-*" for sequential problems
712 - Added undef support to SAT solver, incl. various new "sat" options
713 - Added correct support for === and !== for "eval" and "sat"
714 - Added "sat -tempinduct" (default -seq is now non-induction sequential)
715 - Added "sat -prove-asserts"
716 - Complete rewrite of the 'freduce' command
717 - Added "miter" command
718 - Added "sat -show-inputs" and "sat -show-outputs"
719 - Added "sat -ignore_unknown_cells" (now produce an error by default)
720 - Added "sat -falsify"
721 - Now "sat -verify" and "sat -falsify" can also be used without "-prove"
722 - Added "expose" command
723 - Added support for @<sel_name> to sat and eval signal expressions
724
725 * Changes in the 'make test' framework and auxiliary test tools:
726 - Added autotest.sh -p and -f options
727 - Replaced autotest.sh ISIM support with XSIM support
728 - Added test cases for SAT framework
729
730 * Added "abbreviated IDs":
731 - Now $<something>$foo can be abbreviated as $foo.
732 - Usually this last part is a unique id (from RTLIL::autoidx)
733 - This abbreviated IDs are now also used in "show" output
734
735 * Other changes to selection framework:
736 - Now */ is optional in */<mode>:<arg> expressions
737 - Added "select -assert-none" and "select -assert-any"
738 - Added support for matching modules by attribute (A:<expr>)
739 - Added "select -none"
740 - Added support for r:<expr> pattern for matching cell parameters
741 - Added support for !=, <, <=, >=, > for attribute and parameter matching
742 - Added support for %s for selecting sub-modules
743 - Added support for %m for expanding selections to whole modules
744 - Added support for i:*, o:* and x:* pattern for selecting module ports
745 - Added support for s:<expr> pattern for matching wire width
746 - Added support for %a operation to select wire aliases
747
748 * Various other changes to commands and options:
749 - The "ls" command now supports wildcards
750 - Added "show -pause" and "show -format dot"
751 - Added "show -color" support for cells
752 - Added "show -label" and "show -notitle"
753 - Added "dump -m" and "dump -n"
754 - Added "history" command
755 - Added "rename -hide"
756 - Added "connect" command
757 - Added "splitnets -driver"
758 - Added "opt_const -mux_undef"
759 - Added "opt_const -mux_bool"
760 - Added "opt_const -undriven"
761 - Added "opt -mux_undef -mux_bool -undriven -purge"
762 - Added "hierarchy -libdir"
763 - Added "hierarchy -purge_lib" (by default now do not remove lib cells)
764 - Added "delete" command
765 - Added "dump -append"
766 - Added "setattr" and "setparam" commands
767 - Added "design -stash/-copy-from/-copy-to"
768 - Added "copy" command
769 - Added "splice" command
770