Merge remote-tracking branch 'origin/eddie/fix_carry_wrapper' into xaig_arrival
[yosys.git] / CHANGELOG
1
2 List of major changes and improvements between releases
3 =======================================================
4
5
6 Yosys 0.9 .. Yosys 0.9-dev
7 --------------------------
8
9 * Various
10 - Added "write_xaiger" backend
11 - Added "abc9" pass for timing-aware techmapping (experimental, FPGA only, no FFs)
12 - Added "synth_xilinx -abc9" (experimental)
13 - Added "synth_ice40 -abc9" (experimental)
14 - Added "synth -abc9" (experimental)
15 - Added "script -scriptwire"
16 - Added "synth_xilinx -nocarry"
17 - Added "synth_xilinx -nowidelut"
18 - Added "synth_ecp5 -nowidelut"
19 - "synth_xilinx" to now infer wide multiplexers (-widemux <min> to enable)
20 - Renamed labels/options in synth_ice40 (e.g. dram -> map_lutram; -nodram -> -nolutram)
21 - Renamed labels/options in synth_ecp5 (e.g. dram -> map_lutram; -nodram -> -nolutram)
22 - Renamed labels in synth_intel (e.g. bram -> map_bram)
23 - Renamed labels/options in synth_xilinx (e.g. dram -> map_lutram; -nodram -> -nolutram)
24 - Added automatic gzip decompression for frontends
25 - Added $_NMUX_ cell type
26 - Added automatic gzip compression (based on filename extension) for backends
27 - Improve attribute and parameter encoding in JSON to avoid ambiguities between
28 bit vectors and strings containing [01xz]*
29 - Added "clkbufmap" pass
30 - Added "synth_xilinx -family xc6s" for Spartan 6 support (experimental)
31 - Added "synth_xilinx -ise" (experimental)
32 - Added "synth_xilinx -iopad"
33 - "synth_xilinx" now automatically inserts clock buffers (add -noclkbuf to disable)
34 - Improvements in pmgen: subpattern and recursive matches
35 - Added "opt_share" pass, run as part of "opt -full"
36 - Added "ice40_wrapcarry" to encapsulate SB_LUT+SB_CARRY pairs for techmapping
37 - Removed "ice40_unlut"
38 - Improvements in pmgen: slices, choices, define, generate
39 - Added "xilinx_srl" for Xilinx shift register extraction
40 - Removed "shregmap -tech xilinx" (superseded by "xilinx_srl")
41
42 Yosys 0.8 .. Yosys 0.9
43 ----------------------
44
45 * Various
46 - Many bugfixes and small improvements
47 - Added support for SystemVerilog interfaces and modports
48 - Added "write_edif -attrprop"
49 - Added "opt_lut" pass
50 - Added "gate2lut.v" techmap rule
51 - Added "rename -src"
52 - Added "equiv_opt" pass
53 - Added "flowmap" LUT mapping pass
54 - Added "rename -wire" to rename cells based on the wires they drive
55 - Added "bugpoint" for creating minimised testcases
56 - Added "write_edif -gndvccy"
57 - "write_verilog" to escape Verilog keywords
58 - Fixed sign handling of real constants
59 - "write_verilog" to write initial statement for initial flop state
60 - Added pmgen pattern matcher generator
61 - Fixed opt_rmdff handling of $_DFFSR_???_ and $_DLATCHSR_???_
62 - Added "setundef -params" to replace undefined cell parameters
63 - Renamed "yosys -D" to "yosys -U", added "yosys -D" to set Verilog defines
64 - Fixed handling of defparam when default_nettype is none
65 - Fixed "wreduce" flipflop handling
66 - Fixed FIRRTL to Verilog process instance subfield assignment
67 - Added "write_verilog -siminit"
68 - Several fixes and improvements for mem2reg memories
69 - Fixed handling of task output ports in clocked always blocks
70 - Improved handling of and-with-1 and or-with-0 in "opt_expr"
71 - Added "read_aiger" frontend
72 - Added "mutate" pass
73 - Added "hdlname" attribute
74 - Added "rename -output"
75 - Added "read_ilang -lib"
76 - Improved "proc" full_case detection and handling
77 - Added "whitebox" and "lib_whitebox" attributes
78 - Added "read_verilog -nowb", "flatten -wb" and "wbflip"
79 - Added Python bindings and support for Python plug-ins
80 - Added "pmux2shiftx"
81 - Added log_debug framework for reduced default verbosity
82 - Improved "opt_expr" and "opt_clean" handling of (partially) undriven and/or unused wires
83 - Added "peepopt" peephole optimisation pass using pmgen
84 - Added approximate support for SystemVerilog "var" keyword
85 - Added parsing of "specify" blocks into $specrule and $specify[23]
86 - Added support for attributes on parameters and localparams
87 - Added support for parsing attributes on port connections
88 - Added "wreduce -keepdc"
89 - Added support for optimising $dffe and $_DFFE_* cells in "opt_rmdff"
90 - Added Verilog wand/wor wire type support
91 - Added support for elaboration system tasks
92 - Added "muxcover -mux{4,8,16}=<cost>"
93 - Added "muxcover -dmux=<cost>"
94 - Added "muxcover -nopartial"
95 - Added "muxpack" pass
96 - Added "pmux2shiftx -norange"
97 - Added support for "~" in filename parsing
98 - Added "read_verilog -pwires" feature to turn parameters into wires
99 - Fixed sign extension of unsized constants with 'bx and 'bz MSB
100 - Fixed genvar to be a signed type
101 - Added support for attributes on case rules
102 - Added "upto" and "offset" to JSON frontend and backend
103 - Several liberty file parser improvements
104 - Fixed handling of more complex BRAM patterns
105 - Add "write_aiger -I -O -B"
106
107 * Formal Verification
108 - Added $changed support to read_verilog
109 - Added "read_verilog -noassert -noassume -assert-assumes"
110 - Added btor ops for $mul, $div, $mod and $concat
111 - Added yosys-smtbmc support for btor witnesses
112 - Added "supercover" pass
113 - Fixed $global_clock handling vs autowire
114 - Added $dffsr support to "async2sync"
115 - Added "fmcombine" pass
116 - Added memory init support in "write_btor"
117 - Added "cutpoint" pass
118 - Changed "ne" to "neq" in btor2 output
119 - Added support for SVA "final" keyword
120 - Added "fmcombine -initeq -anyeq"
121 - Added timescale and generated-by header to yosys-smtbmc vcd output
122 - Improved BTOR2 handling of undriven wires
123
124 * Verific support
125 - Enabled Verific flags vhdl_support_variable_slice and veri_elaborate_top_level_modules_having_interface_ports
126 - Improved support for asymmetric memories
127 - Added "verific -chparam"
128 - Fixed "verific -extnets" for more complex situations
129 - Added "read -verific" and "read -noverific"
130 - Added "hierarchy -chparam"
131
132 * New back-ends
133 - Added initial Anlogic support
134 - Added initial SmartFusion2 and IGLOO2 support
135
136 * ECP5 support
137 - Added "synth_ecp5 -nowidelut"
138 - Added BRAM inference support to "synth_ecp5"
139 - Added support for transforming Diamond IO and flipflop primitives
140
141 * iCE40 support
142 - Added "ice40_unlut" pass
143 - Added "synth_ice40 -relut"
144 - Added "synth_ice40 -noabc"
145 - Added "synth_ice40 -dffe_min_ce_use"
146 - Added DSP inference support using pmgen
147 - Added support for initialising BRAM primitives from a file
148 - Added iCE40 Ultra RGB LED driver cells
149
150 * Xilinx support
151 - Use "write_edif -pvector bra" for Xilinx EDIF files
152 - Fixes for VPR place and route support with "synth_xilinx"
153 - Added more cell simulation models
154 - Added "synth_xilinx -family"
155 - Added "stat -tech xilinx" to estimate logic cell usage
156 - Added "synth_xilinx -nocarry"
157 - Added "synth_xilinx -nowidelut"
158 - "synth_xilinx" to now infer hard shift registers (-nosrl to disable)
159 - Added support for mapping RAM32X1D
160
161 Yosys 0.7 .. Yosys 0.8
162 ----------------------
163
164 * Various
165 - Many bugfixes and small improvements
166 - Strip debug symbols from installed binary
167 - Replace -ignore_redef with -[no]overwrite in front-ends
168 - Added write_verilog hex dump support, add -nohex option
169 - Added "write_verilog -decimal"
170 - Added "scc -set_attr"
171 - Added "verilog_defines" command
172 - Remember defines from one read_verilog to next
173 - Added support for hierarchical defparam
174 - Added FIRRTL back-end
175 - Improved ABC default scripts
176 - Added "design -reset-vlog"
177 - Added "yosys -W regex", "yosys -w regex", and "yosys -e regex"
178 - Added Verilog $rtoi and $itor support
179 - Added "check -initdrv"
180 - Added "read_blif -wideports"
181 - Added support for SystemVerilog "++" and "--" operators
182 - Added support for SystemVerilog unique, unique0, and priority case
183 - Added "write_edif" options for edif "flavors"
184 - Added support for resetall compiler directive
185 - Added simple C beck-end (bitwise combinatorical only atm)
186 - Added $_ANDNOT_ and $_ORNOT_ cell types
187 - Added cell library aliases to "abc -g"
188 - Added "setundef -anyseq"
189 - Added "chtype" command
190 - Added "design -import"
191 - Added "write_table" command
192 - Added "read_json" command
193 - Added "sim" command
194 - Added "extract_fa" and "extract_reduce" commands
195 - Added "extract_counter" command
196 - Added "opt_demorgan" command
197 - Added support for $size and $bits SystemVerilog functions
198 - Added "blackbox" command
199 - Added "ltp" command
200 - Added support for editline as replacement for readline
201 - Added warnings for driver-driver conflicts between FFs (and other cells) and constants
202 - Added "yosys -E" for creating Makefile dependencies files
203 - Added "synth -noshare"
204 - Added "memory_nordff"
205 - Added "setundef -undef -expose -anyconst"
206 - Added "expose -input"
207 - Added specify/specparam parser support (simply ignore them)
208 - Added "write_blif -inames -iattr"
209 - Added "hierarchy -simcheck"
210 - Added an option to statically link abc into yosys
211 - Added protobuf back-end
212 - Added BLIF parsing support for .conn and .cname
213 - Added read_verilog error checking for reg/wire/logic misuse
214 - Added "make coverage" and ENABLE_GCOV build option
215
216 * Changes in Yosys APIs
217 - Added ConstEval defaultval feature
218 - Added {get,set}_src_attribute() methods on RTLIL::AttrObject
219 - Added SigSpec::is_fully_ones() and Const::is_fully_ones()
220 - Added log_file_warning() and log_file_error() functions
221
222 * Formal Verification
223 - Added "write_aiger"
224 - Added "yosys-smtbmc --aig"
225 - Added "always <positive_int>" to .smtc format
226 - Added $cover cell type and support for cover properties
227 - Added $fair/$live cell type and support for liveness properties
228 - Added smtbmc support for memory vcd dumping
229 - Added "chformal" command
230 - Added "write_smt2 -stbv" and "write_smt2 -stdt"
231 - Fix equiv_simple, old behavior now available with "equiv_simple -short"
232 - Change to Yices2 as default SMT solver (it is GPL now)
233 - Added "yosys-smtbmc --presat" (now default in SymbiYosys)
234 - Added "yosys-smtbmc --smtc-init --smtc-top --noinit"
235 - Added a brand new "write_btor" command for BTOR2
236 - Added clk2fflogic memory support and other improvements
237 - Added "async memory write" support to write_smt2
238 - Simulate clock toggling in yosys-smtbmc VCD output
239 - Added $allseq/$allconst cells for EA-solving
240 - Make -nordff the default in "prep"
241 - Added (* gclk *) attribute
242 - Added "async2sync" pass for single-clock designs with async resets
243
244 * Verific support
245 - Many improvements in Verific front-end
246 - Added proper handling of concurent SVA properties
247 - Map "const" and "rand const" to $anyseq/$anyconst
248 - Added "verific -import -flatten" and "verific -import -extnets"
249 - Added "verific -vlog-incdir -vlog-define -vlog-libdir"
250 - Remove PSL support (because PSL has been removed in upstream Verific)
251 - Improve integration with "hierarchy" command design elaboration
252 - Added YOSYS_NOVERIFIC for running non-verific test cases with verific bin
253 - Added simpilied "read" command that automatically uses verific if available
254 - Added "verific -set-<severity> <msg_id>.."
255 - Added "verific -work <libname>"
256
257 * New back-ends
258 - Added initial Coolrunner-II support
259 - Added initial eASIC support
260 - Added initial ECP5 support
261
262 * GreenPAK Support
263 - Added support for GP_DLATCH, GP_SPI, GP_DCMx, GP_COUNTx, etc.
264
265 * iCE40 Support
266 - Add "synth_ice40 -vpr"
267 - Add "synth_ice40 -nodffe"
268 - Add "synth_ice40 -json"
269 - Add Support for UltraPlus cells
270
271 * MAX10 and Cyclone IV Support
272 - Added initial version of metacommand "synth_intel".
273 - Improved write_verilog command to produce VQM netlist for Quartus Prime.
274 - Added support for MAX10 FPGA family synthesis.
275 - Added support for Cyclone IV family synthesis.
276 - Added example of implementation for DE2i-150 board.
277 - Added example of implementation for MAX10 development kit.
278 - Added LFSR example from Asic World.
279 - Added "dffinit -highlow" for mapping to Intel primitives
280
281
282 Yosys 0.6 .. Yosys 0.7
283 ----------------------
284
285 * Various
286 - Added "yosys -D" feature
287 - Added support for installed plugins in $(DATDIR)/plugins/
288 - Renamed opt_const to opt_expr
289 - Renamed opt_share to opt_merge
290 - Added "prep -flatten" and "synth -flatten"
291 - Added "prep -auto-top" and "synth -auto-top"
292 - Using "mfs" and "lutpack" in ABC lut mapping
293 - Support for abstract modules in chparam
294 - Cleanup abstract modules at end of "hierarchy -top"
295 - Added tristate buffer support to iopadmap
296 - Added opt_expr support for div/mod by power-of-two
297 - Added "select -assert-min <N> -assert-max <N>"
298 - Added "attrmvcp" pass
299 - Added "attrmap" command
300 - Added "tee +INT -INT"
301 - Added "zinit" pass
302 - Added "setparam -type"
303 - Added "shregmap" pass
304 - Added "setundef -init"
305 - Added "nlutmap -assert"
306 - Added $sop cell type and "abc -sop -I <num> -P <num>"
307 - Added "dc2" to default ABC scripts
308 - Added "deminout"
309 - Added "insbuf" command
310 - Added "prep -nomem"
311 - Added "opt_rmdff -keepdc"
312 - Added "prep -nokeepdc"
313 - Added initial version of "synth_gowin"
314 - Added "fsm_expand -full"
315 - Added support for fsm_encoding="user"
316 - Many improvements in GreenPAK4 support
317 - Added black box modules for all Xilinx 7-series lib cells
318 - Added synth_ice40 support for latches via logic loops
319 - Fixed ice40_opt lut unmapping, added "ice40_opt -unlut"
320
321 * Build System
322 - Added ABCEXTERNAL and ABCURL make variables
323 - Added BINDIR, LIBDIR, and DATDIR make variables
324 - Added PKG_CONFIG make variable
325 - Added SEED make variable (for "make test")
326 - Added YOSYS_VER_STR make variable
327 - Updated min GCC requirement to GCC 4.8
328 - Updated required Bison version to Bison 3.x
329
330 * Internal APIs
331 - Added ast.h to exported headers
332 - Added ScriptPass helper class for script-like passes
333 - Added CellEdgesDatabase API
334
335 * Front-ends and Back-ends
336 - Added filename glob support to all front-ends
337 - Added avail (black-box) module params to ilang format
338 - Added $display %m support
339 - Added support for $stop Verilog system task
340 - Added support for SystemVerilog packages
341 - Fixed procedural assignments to non-unique lvalues, e.g. {y,y} = {a,b}
342 - Added support for "active high" and "active low" latches in read_blif and write_blif
343 - Use init value "2" for all uninitialized FFs in BLIF back-end
344 - Added "read_blif -sop"
345 - Added "write_blif -noalias"
346 - Added various write_blif options for VTR support
347 - write_json: also write module attributes.
348 - Added "write_verilog -nodec -nostr -defparam"
349 - Added "read_verilog -norestrict -assume-asserts"
350 - Added support for bus interfaces to "read_liberty -lib"
351 - Added liberty parser support for types within cell decls
352 - Added "write_verilog -renameprefix -v"
353 - Added "write_edif -nogndvcc"
354
355 * Formal Verification
356 - Support for hierarchical designs in smt2 back-end
357 - Yosys-smtbmc: Support for hierarchical VCD dumping
358 - Added $initstate cell type and vlog function
359 - Added $anyconst and $anyseq cell types and vlog functions
360 - Added printing of code loc of failed asserts to yosys-smtbmc
361 - Added memory_memx pass, "memory -memx", and "prep -memx"
362 - Added "proc_mux -ifx"
363 - Added "yosys-smtbmc -g"
364 - Deprecated "write_smt2 -regs" (by default on now)
365 - Made "write_smt2 -bv -mem" default, added "write_smt2 -nobv -nomem"
366 - Added support for memories to smtio.py
367 - Added "yosys-smtbmc --dump-vlogtb"
368 - Added "yosys-smtbmc --smtc --dump-smtc"
369 - Added "yosys-smtbmc --dump-all"
370 - Added assertpmux command
371 - Added "yosys-smtbmc --unroll"
372 - Added $past, $stable, $rose, $fell SVA functions
373 - Added "yosys-smtbmc --noinfo and --dummy"
374 - Added "yosys-smtbmc --noincr"
375 - Added "yosys-smtbmc --cex <filename>"
376 - Added $ff and $_FF_ cell types
377 - Added $global_clock verilog syntax support for creating $ff cells
378 - Added clk2fflogic
379
380
381 Yosys 0.5 .. Yosys 0.6
382 ----------------------
383
384 * Various
385 - Added Contributor Covenant Code of Conduct
386 - Various improvements in dict<> and pool<>
387 - Added hashlib::mfp and refactored SigMap
388 - Improved support for reals as module parameters
389 - Various improvements in SMT2 back-end
390 - Added "keep_hierarchy" attribute
391 - Verilog front-end: define `BLACKBOX in -lib mode
392 - Added API for converting internal cells to AIGs
393 - Added ENABLE_LIBYOSYS Makefile option
394 - Removed "techmap -share_map" (use "-map +/filename" instead)
395 - Switched all Python scripts to Python 3
396 - Added support for $display()/$write() and $finish() to Verilog front-end
397 - Added "yosys-smtbmc" formal verification flow
398 - Added options for clang sanitizers to Makefile
399
400 * New commands and options
401 - Added "scc -expect <N> -nofeedback"
402 - Added "proc_dlatch"
403 - Added "check"
404 - Added "select %xe %cie %coe %M %C %R"
405 - Added "sat -dump_json" (WaveJSON format)
406 - Added "sat -tempinduct-baseonly -tempinduct-inductonly"
407 - Added "sat -stepsize" and "sat -tempinduct-step"
408 - Added "sat -show-regs -show-public -show-all"
409 - Added "write_json" (Native Yosys JSON format)
410 - Added "write_blif -attr"
411 - Added "dffinit"
412 - Added "chparam"
413 - Added "muxcover"
414 - Added "pmuxtree"
415 - Added memory_bram "make_outreg" feature
416 - Added "splice -wires"
417 - Added "dff2dffe -direct-match"
418 - Added simplemap $lut support
419 - Added "read_blif"
420 - Added "opt_share -share_all"
421 - Added "aigmap"
422 - Added "write_smt2 -mem -regs -wires"
423 - Added "memory -nordff"
424 - Added "write_smv"
425 - Added "synth -nordff -noalumacc"
426 - Added "rename -top new_name"
427 - Added "opt_const -clkinv"
428 - Added "synth -nofsm"
429 - Added "miter -assert"
430 - Added "read_verilog -noautowire"
431 - Added "read_verilog -nodpi"
432 - Added "tribuf"
433 - Added "lut2mux"
434 - Added "nlutmap"
435 - Added "qwp"
436 - Added "test_cell -noeval"
437 - Added "edgetypes"
438 - Added "equiv_struct"
439 - Added "equiv_purge"
440 - Added "equiv_mark"
441 - Added "equiv_add -try -cell"
442 - Added "singleton"
443 - Added "abc -g -luts"
444 - Added "torder"
445 - Added "write_blif -cname"
446 - Added "submod -copy"
447 - Added "dffsr2dff"
448 - Added "stat -liberty"
449
450 * Synthesis metacommands
451 - Various improvements in synth_xilinx
452 - Added synth_ice40 and synth_greenpak4
453 - Added "prep" metacommand for "synthesis lite"
454
455 * Cell library changes
456 - Added cell types to "help" system
457 - Added $meminit cell type
458 - Added $assume cell type
459 - Added $_MUX4_, $_MUX8_, and $_MUX16_ cells
460 - Added $tribuf and $_TBUF_ cell types
461 - Added read-enable to memory model
462
463 * YosysJS
464 - Various improvements in emscripten build
465 - Added alternative webworker-based JS API
466 - Added a few example applications
467
468
469 Yosys 0.4 .. Yosys 0.5
470 ----------------------
471
472 * API changes
473 - Added log_warning()
474 - Added eval_select_args() and eval_select_op()
475 - Added cell->known(), cell->input(portname), cell->output(portname)
476 - Skip blackbox modules in design->selected_modules()
477 - Replaced std::map<> and std::set<> with dict<> and pool<>
478 - New SigSpec::extend() is what used to be SigSpec::extend_u0()
479 - Added YS_OVERRIDE, YS_FINAL, YS_ATTRIBUTE, YS_NORETURN
480
481 * Cell library changes
482 - Added flip-flops with enable ($dffe etc.)
483 - Added $equiv cells for equivalence checking framework
484
485 * Various
486 - Updated ABC to hg rev 61ad5f908c03
487 - Added clock domain partitioning to ABC pass
488 - Improved plugin building (see "yosys-config --build")
489 - Added ENABLE_NDEBUG Makefile flag for high-performance builds
490 - Added "yosys -d", "yosys -L" and other driver improvements
491 - Added support for multi-bit (array) cell ports to "write_edif"
492 - Now printing most output to stdout, not stderr
493 - Added "onehot" attribute (set by "fsm_map")
494 - Various performance improvements
495 - Vastly improved Xilinx flow
496 - Added "make unsintall"
497
498 * Equivalence checking
499 - Added equivalence checking commands:
500 equiv_make equiv_simple equiv_status
501 equiv_induct equiv_miter
502 equiv_add equiv_remove
503
504 * Block RAM support:
505 - Added "memory_bram" command
506 - Added BRAM support to Xilinx flow
507
508 * Other New Commands and Options
509 - Added "dff2dffe"
510 - Added "fsm -encfile"
511 - Added "dfflibmap -prepare"
512 - Added "write_blid -unbuf -undef -blackbox"
513 - Added "write_smt2" for writing SMT-LIBv2 files
514 - Added "test_cell -w -muxdiv"
515 - Added "select -read"
516
517
518 Yosys 0.3.0 .. Yosys 0.4
519 ------------------------
520
521 * Platform Support
522 - Added support for mxe-based cross-builds for win32
523 - Added sourcecode-export as VisualStudio project
524 - Added experimental EMCC (JavaScript) support
525
526 * Verilog Frontend
527 - Added -sv option for SystemVerilog (and automatic *.sv file support)
528 - Added support for real-valued constants and constant expressions
529 - Added support for non-standard "via_celltype" attribute on task/func
530 - Added support for non-standard "module mod_name(...);" syntax
531 - Added support for non-standard """ macro bodies
532 - Added support for array with more than one dimension
533 - Added support for $readmemh and $readmemb
534 - Added support for DPI functions
535
536 * Changes in internal cell library
537 - Added $shift and $shiftx cell types
538 - Added $alu, $lcu, $fa and $macc cell types
539 - Removed $bu0 and $safe_pmux cell types
540 - $mem/$memwr WR_EN input is now a per-data-bit enable signal
541 - Added $_NAND_ $_NOR_ $_XNOR_ $_AOI3_ $_OAI3_ $_AOI4_ $_OAI4_
542 - Renamed ports of $lut cells (from I->O to A->Y)
543 - Renamed $_INV_ to $_NOT_
544
545 * Changes for simple synthesis flows
546 - There is now a "synth" command with a recommended default script
547 - Many improvements in synthesis of arithmetic functions to gates
548 - Multipliers and adders with many operands are using carry-save adder trees
549 - Remaining adders are now implemented using Brent-Kung carry look-ahead adders
550 - Various new high-level optimizations on RTL netlist
551 - Various improvements in FSM optimization
552 - Updated ABC to hg 5b5af75f1dda (from 2014-11-07)
553
554 * Changes in internal APIs and RTLIL
555 - Added log_id() and log_cell() helper functions
556 - Added function-like cell creation helpers
557 - Added GetSize() function (like .size() but with int)
558 - Major refactoring of RTLIL::Module and related classes
559 - Major refactoring of RTLIL::SigSpec and related classes
560 - Now RTLIL::IdString is essentially an int
561 - Added macros for code coverage counters
562 - Added some Makefile magic for pretty make logs
563 - Added "kernel/yosys.h" with all the core definitions
564 - Changed a lot of code from FILE* to c++ streams
565 - Added RTLIL::Monitor API and "trace" command
566 - Added "Yosys" C++ namespace
567
568 * Changes relevant to SAT solving
569 - Added ezSAT::keep_cnf() and ezSAT::non_incremental()
570 - Added native ezSAT support for vector shift ops
571 - Updated MiniSAT to git 37dc6c67e2 (from 2013-09-25)
572
573 * New commands (or large improvements to commands)
574 - Added "synth" command with default script
575 - Added "share" (finally some real resource sharing)
576 - Added "memory_share" (reduce number of ports on memories)
577 - Added "wreduce" and "alumacc" commands
578 - Added "opt -keepdc -fine -full -fast"
579 - Added some "test_*" commands
580
581 * Various other changes
582 - Added %D and %c select operators
583 - Added support for labels in yosys scripts
584 - Added support for here-documents in yosys scripts
585 - Support "+/" prefix for files from proc_share_dir
586 - Added "autoidx" statement to ilang language
587 - Switched from "yosys-svgviewer" to "xdot"
588 - Renamed "stdcells.v" to "techmap.v"
589 - Various bug fixes and small improvements
590 - Improved welcome and bye messages
591
592
593 Yosys 0.2.0 .. Yosys 0.3.0
594 --------------------------
595
596 * Driver program and overall behavior:
597 - Added "design -push" and "design -pop"
598 - Added "tee" command for redirecting log output
599
600 * Changes in the internal cell library:
601 - Added $dlatchsr and $_DLATCHSR_???_ cell types
602
603 * Improvements in Verilog frontend:
604 - Improved support for const functions (case, always, repeat)
605 - The generate..endgenerate keywords are now optional
606 - Added support for arrays of module instances
607 - Added support for "`default_nettype" directive
608 - Added support for "`line" directive
609
610 * Other front- and back-ends:
611 - Various changes to "write_blif" options
612 - Various improvements in EDIF backend
613 - Added "vhdl2verilog" pseudo-front-end
614 - Added "verific" pseudo-front-end
615
616 * Improvements in technology mapping:
617 - Added support for recursive techmap
618 - Added CONSTMSK and CONSTVAL features to techmap
619 - Added _TECHMAP_CONNMAP_*_ feature to techmap
620 - Added _TECHMAP_REPLACE_ feature to techmap
621 - Added "connwrappers" command for wrap-extract-unwrap method
622 - Added "extract -map %<design_name>" feature
623 - Added "extract -ignore_param ..." and "extract -ignore_parameters"
624 - Added "techmap -max_iter" option
625
626 * Improvements to "eval" and "sat" framework:
627 - Now include a copy of Minisat (with build fixes applied)
628 - Switched to Minisat::SimpSolver as SAT back-end
629 - Added "sat -dump_vcd" feature
630 - Added "sat -dump_cnf" feature
631 - Added "sat -initsteps <N>" feature
632 - Added "freduce -stop <N>" feature
633 - Added "freduce -dump <prefix>" feature
634
635 * Integration with ABC:
636 - Updated ABC rev to 7600ffb9340c
637
638 * Improvements in the internal APIs:
639 - Added RTLIL::Module::add... helper methods
640 - Various build fixes for OSX (Darwin) and OpenBSD
641
642
643 Yosys 0.1.0 .. Yosys 0.2.0
644 --------------------------
645
646 * Changes to the driver program:
647 - Added "yosys -h" and "yosys -H"
648 - Added support for backslash line continuation in scripts
649 - Added support for #-comments in same line as command
650 - Added "echo" and "log" commands
651
652 * Improvements in Verilog frontend:
653 - Added support for local registers in named blocks
654 - Added support for "case" in "generate" blocks
655 - Added support for $clog2 system function
656 - Added support for basic SystemVerilog assert statements
657 - Added preprocessor support for macro arguments
658 - Added preprocessor support for `elsif statement
659 - Added "verilog_defaults" command
660 - Added read_verilog -icells option
661 - Added support for constant sizes from parameters
662 - Added "read_verilog -setattr"
663 - Added support for function returning 'integer'
664 - Added limited support for function calls in parameter values
665 - Added "read_verilog -defer" to suppress evaluation of modules with default parameters
666
667 * Other front- and back-ends:
668 - Added BTOR backend
669 - Added Liberty frontend
670
671 * Improvements in technology mapping:
672 - The "dfflibmap" command now strongly prefers solutions with
673 no inverters in clock paths
674 - The "dfflibmap" command now prefers cells with smaller area
675 - Added support for multiple -map options to techmap
676 - Added "dfflibmap" support for //-comments in liberty files
677 - Added "memory_unpack" command to revert "memory_collect"
678 - Added standard techmap rule "techmap -share_map pmux2mux.v"
679 - Added "iopadmap -bits"
680 - Added "setundef" command
681 - Added "hilomap" command
682
683 * Changes in the internal cell library:
684 - Major rewrite of simlib.v for better compatibility with other tools
685 - Added PRIORITY parameter to $memwr cells
686 - Added TRANSPARENT parameter to $memrd cells
687 - Added RD_TRANSPARENT parameter to $mem cells
688 - Added $bu0 cell (always 0-extend, even undef MSB)
689 - Added $assert cell type
690 - Added $slice and $concat cell types
691
692 * Integration with ABC:
693 - Updated ABC to hg rev 2058c8ccea68
694 - Tighter integration of ABC build with Yosys build. The make
695 targets 'make abc' and 'make install-abc' are now obsolete.
696 - Added support for passing FFs from one clock domain through ABC
697 - Now always use BLIF as exchange format with ABC
698 - Added support for "abc -script +<command_sequence>"
699 - Improved standard ABC recipe
700 - Added support for "keep" attribute to abc command
701 - Added "abc -dff / -clk / -keepff" options
702
703 * Improvements to "eval" and "sat" framework:
704 - Added support for "0" and "~0" in right-hand side -set expressions
705 - Added "eval -set-undef" and "eval -table"
706 - Added "sat -set-init" and "sat -set-init-*" for sequential problems
707 - Added undef support to SAT solver, incl. various new "sat" options
708 - Added correct support for === and !== for "eval" and "sat"
709 - Added "sat -tempinduct" (default -seq is now non-induction sequential)
710 - Added "sat -prove-asserts"
711 - Complete rewrite of the 'freduce' command
712 - Added "miter" command
713 - Added "sat -show-inputs" and "sat -show-outputs"
714 - Added "sat -ignore_unknown_cells" (now produce an error by default)
715 - Added "sat -falsify"
716 - Now "sat -verify" and "sat -falsify" can also be used without "-prove"
717 - Added "expose" command
718 - Added support for @<sel_name> to sat and eval signal expressions
719
720 * Changes in the 'make test' framework and auxiliary test tools:
721 - Added autotest.sh -p and -f options
722 - Replaced autotest.sh ISIM support with XSIM support
723 - Added test cases for SAT framework
724
725 * Added "abbreviated IDs":
726 - Now $<something>$foo can be abbreviated as $foo.
727 - Usually this last part is a unique id (from RTLIL::autoidx)
728 - This abbreviated IDs are now also used in "show" output
729
730 * Other changes to selection framework:
731 - Now */ is optional in */<mode>:<arg> expressions
732 - Added "select -assert-none" and "select -assert-any"
733 - Added support for matching modules by attribute (A:<expr>)
734 - Added "select -none"
735 - Added support for r:<expr> pattern for matching cell parameters
736 - Added support for !=, <, <=, >=, > for attribute and parameter matching
737 - Added support for %s for selecting sub-modules
738 - Added support for %m for expanding selections to whole modules
739 - Added support for i:*, o:* and x:* pattern for selecting module ports
740 - Added support for s:<expr> pattern for matching wire width
741 - Added support for %a operation to select wire aliases
742
743 * Various other changes to commands and options:
744 - The "ls" command now supports wildcards
745 - Added "show -pause" and "show -format dot"
746 - Added "show -color" support for cells
747 - Added "show -label" and "show -notitle"
748 - Added "dump -m" and "dump -n"
749 - Added "history" command
750 - Added "rename -hide"
751 - Added "connect" command
752 - Added "splitnets -driver"
753 - Added "opt_const -mux_undef"
754 - Added "opt_const -mux_bool"
755 - Added "opt_const -undriven"
756 - Added "opt -mux_undef -mux_bool -undriven -purge"
757 - Added "hierarchy -libdir"
758 - Added "hierarchy -purge_lib" (by default now do not remove lib cells)
759 - Added "delete" command
760 - Added "dump -append"
761 - Added "setattr" and "setparam" commands
762 - Added "design -stash/-copy-from/-copy-to"
763 - Added "copy" command
764 - Added "splice" command
765