Merge branch 'master' into eddie/submod_po
[yosys.git] / CHANGELOG
1
2 List of major changes and improvements between releases
3 =======================================================
4
5
6 Yosys 0.9 .. Yosys 0.9-dev
7 --------------------------
8
9 * Various
10 - Added "write_xaiger" backend
11 - Added "abc9" pass for timing-aware techmapping (experimental, FPGA only, no FFs)
12 - Added "synth_xilinx -abc9" (experimental)
13 - Added "synth_ice40 -abc9" (experimental)
14 - Added "synth -abc9" (experimental)
15 - Added "script -scriptwire"
16 - Added "synth_xilinx -nocarry"
17 - Added "synth_xilinx -nowidelut"
18 - Added "synth_ecp5 -nowidelut"
19 - "synth_xilinx" to now infer wide multiplexers (-widemux <min> to enable)
20 - Renamed labels/options in synth_ice40 (e.g. dram -> map_lutram; -nodram -> -nolutram)
21 - Renamed labels/options in synth_ecp5 (e.g. dram -> map_lutram; -nodram -> -nolutram)
22 - Renamed labels in synth_intel (e.g. bram -> map_bram)
23 - Renamed labels/options in synth_xilinx (e.g. dram -> map_lutram; -nodram -> -nolutram)
24 - Added automatic gzip decompression for frontends
25 - Added $_NMUX_ cell type
26 - Added automatic gzip compression (based on filename extension) for backends
27 - Improve attribute and parameter encoding in JSON to avoid ambiguities between
28 bit vectors and strings containing [01xz]*
29 - Added "clkbufmap" pass
30 - Added "extractinv" pass and "invertible_pin" attribute
31 - Added "synth_xilinx -family xc6s" for Spartan 6 support (experimental)
32 - Added "synth_xilinx -ise" (experimental)
33 - Added "synth_xilinx -iopad"
34 - "synth_xilinx" now automatically inserts clock buffers (add -noclkbuf to disable)
35 - Improvements in pmgen: subpattern and recursive matches
36 - Added "opt_share" pass, run as part of "opt -full"
37 - Added "ice40_wrapcarry" to encapsulate SB_LUT+SB_CARRY pairs for techmapping
38 - Removed "ice40_unlut"
39 - Improvements in pmgen: slices, choices, define, generate
40 - Added "xilinx_srl" for Xilinx shift register extraction
41 - Removed "shregmap -tech xilinx" (superseded by "xilinx_srl")
42 - Added "_TECHMAP_WIREINIT_*_" attribute and "_TECHMAP_REMOVEINIT_*_" wire for "techmap" pass
43 - Added "-match-init" option to "dff2dffs" pass
44 - Added "techmap_autopurge" support to techmap
45 - Added "add -mod <modname[s]>"
46 - Added +/mul2dsp.v for decomposing wide multipliers to custom-sized ones
47 - Added "ice40_dsp" for Lattice iCE40 DSP packing
48 - Added "xilinx_dsp" for Xilinx DSP packing
49 - "synth_xilinx" to now infer DSP blocks (-nodsp to disable)
50 - "synth_ecp5" to now infer DSP blocks (-nodsp to disable, experimental)
51 - "synth_ice40 -dsp" to infer DSP blocks
52 - Added latch support to synth_xilinx
53 - Added support for flip-flops with synchronous reset to synth_xilinx
54 - Added support for flip-flops with reset and enable to synth_xilinx
55 - Added "check -mapped"
56 - Added checking of SystemVerilog always block types (always_comb,
57 always_latch and always_ff)
58 - Added "xilinx_dffopt" pass
59 - Added "scratchpad" pass
60 - Added "abc9 -dff"
61 - Added "synth_xilinx -dff"
62
63 Yosys 0.8 .. Yosys 0.9
64 ----------------------
65
66 * Various
67 - Many bugfixes and small improvements
68 - Added support for SystemVerilog interfaces and modports
69 - Added "write_edif -attrprop"
70 - Added "opt_lut" pass
71 - Added "gate2lut.v" techmap rule
72 - Added "rename -src"
73 - Added "equiv_opt" pass
74 - Added "flowmap" LUT mapping pass
75 - Added "rename -wire" to rename cells based on the wires they drive
76 - Added "bugpoint" for creating minimised testcases
77 - Added "write_edif -gndvccy"
78 - "write_verilog" to escape Verilog keywords
79 - Fixed sign handling of real constants
80 - "write_verilog" to write initial statement for initial flop state
81 - Added pmgen pattern matcher generator
82 - Fixed opt_rmdff handling of $_DFFSR_???_ and $_DLATCHSR_???_
83 - Added "setundef -params" to replace undefined cell parameters
84 - Renamed "yosys -D" to "yosys -U", added "yosys -D" to set Verilog defines
85 - Fixed handling of defparam when default_nettype is none
86 - Fixed "wreduce" flipflop handling
87 - Fixed FIRRTL to Verilog process instance subfield assignment
88 - Added "write_verilog -siminit"
89 - Several fixes and improvements for mem2reg memories
90 - Fixed handling of task output ports in clocked always blocks
91 - Improved handling of and-with-1 and or-with-0 in "opt_expr"
92 - Added "read_aiger" frontend
93 - Added "mutate" pass
94 - Added "hdlname" attribute
95 - Added "rename -output"
96 - Added "read_ilang -lib"
97 - Improved "proc" full_case detection and handling
98 - Added "whitebox" and "lib_whitebox" attributes
99 - Added "read_verilog -nowb", "flatten -wb" and "wbflip"
100 - Added Python bindings and support for Python plug-ins
101 - Added "pmux2shiftx"
102 - Added log_debug framework for reduced default verbosity
103 - Improved "opt_expr" and "opt_clean" handling of (partially) undriven and/or unused wires
104 - Added "peepopt" peephole optimisation pass using pmgen
105 - Added approximate support for SystemVerilog "var" keyword
106 - Added parsing of "specify" blocks into $specrule and $specify[23]
107 - Added support for attributes on parameters and localparams
108 - Added support for parsing attributes on port connections
109 - Added "wreduce -keepdc"
110 - Added support for optimising $dffe and $_DFFE_* cells in "opt_rmdff"
111 - Added Verilog wand/wor wire type support
112 - Added support for elaboration system tasks
113 - Added "muxcover -mux{4,8,16}=<cost>"
114 - Added "muxcover -dmux=<cost>"
115 - Added "muxcover -nopartial"
116 - Added "muxpack" pass
117 - Added "pmux2shiftx -norange"
118 - Added support for "~" in filename parsing
119 - Added "read_verilog -pwires" feature to turn parameters into wires
120 - Fixed sign extension of unsized constants with 'bx and 'bz MSB
121 - Fixed genvar to be a signed type
122 - Added support for attributes on case rules
123 - Added "upto" and "offset" to JSON frontend and backend
124 - Several liberty file parser improvements
125 - Fixed handling of more complex BRAM patterns
126 - Add "write_aiger -I -O -B"
127
128 * Formal Verification
129 - Added $changed support to read_verilog
130 - Added "read_verilog -noassert -noassume -assert-assumes"
131 - Added btor ops for $mul, $div, $mod and $concat
132 - Added yosys-smtbmc support for btor witnesses
133 - Added "supercover" pass
134 - Fixed $global_clock handling vs autowire
135 - Added $dffsr support to "async2sync"
136 - Added "fmcombine" pass
137 - Added memory init support in "write_btor"
138 - Added "cutpoint" pass
139 - Changed "ne" to "neq" in btor2 output
140 - Added support for SVA "final" keyword
141 - Added "fmcombine -initeq -anyeq"
142 - Added timescale and generated-by header to yosys-smtbmc vcd output
143 - Improved BTOR2 handling of undriven wires
144
145 * Verific support
146 - Enabled Verific flags vhdl_support_variable_slice and veri_elaborate_top_level_modules_having_interface_ports
147 - Improved support for asymmetric memories
148 - Added "verific -chparam"
149 - Fixed "verific -extnets" for more complex situations
150 - Added "read -verific" and "read -noverific"
151 - Added "hierarchy -chparam"
152
153 * New back-ends
154 - Added initial Anlogic support
155 - Added initial SmartFusion2 and IGLOO2 support
156
157 * ECP5 support
158 - Added "synth_ecp5 -nowidelut"
159 - Added BRAM inference support to "synth_ecp5"
160 - Added support for transforming Diamond IO and flipflop primitives
161
162 * iCE40 support
163 - Added "ice40_unlut" pass
164 - Added "synth_ice40 -relut"
165 - Added "synth_ice40 -noabc"
166 - Added "synth_ice40 -dffe_min_ce_use"
167 - Added DSP inference support using pmgen
168 - Added support for initialising BRAM primitives from a file
169 - Added iCE40 Ultra RGB LED driver cells
170
171 * Xilinx support
172 - Use "write_edif -pvector bra" for Xilinx EDIF files
173 - Fixes for VPR place and route support with "synth_xilinx"
174 - Added more cell simulation models
175 - Added "synth_xilinx -family"
176 - Added "stat -tech xilinx" to estimate logic cell usage
177 - Added "synth_xilinx -nocarry"
178 - Added "synth_xilinx -nowidelut"
179 - "synth_xilinx" to now infer hard shift registers (-nosrl to disable)
180 - Added support for mapping RAM32X1D
181
182 Yosys 0.7 .. Yosys 0.8
183 ----------------------
184
185 * Various
186 - Many bugfixes and small improvements
187 - Strip debug symbols from installed binary
188 - Replace -ignore_redef with -[no]overwrite in front-ends
189 - Added write_verilog hex dump support, add -nohex option
190 - Added "write_verilog -decimal"
191 - Added "scc -set_attr"
192 - Added "verilog_defines" command
193 - Remember defines from one read_verilog to next
194 - Added support for hierarchical defparam
195 - Added FIRRTL back-end
196 - Improved ABC default scripts
197 - Added "design -reset-vlog"
198 - Added "yosys -W regex", "yosys -w regex", and "yosys -e regex"
199 - Added Verilog $rtoi and $itor support
200 - Added "check -initdrv"
201 - Added "read_blif -wideports"
202 - Added support for SystemVerilog "++" and "--" operators
203 - Added support for SystemVerilog unique, unique0, and priority case
204 - Added "write_edif" options for edif "flavors"
205 - Added support for resetall compiler directive
206 - Added simple C beck-end (bitwise combinatorical only atm)
207 - Added $_ANDNOT_ and $_ORNOT_ cell types
208 - Added cell library aliases to "abc -g"
209 - Added "setundef -anyseq"
210 - Added "chtype" command
211 - Added "design -import"
212 - Added "write_table" command
213 - Added "read_json" command
214 - Added "sim" command
215 - Added "extract_fa" and "extract_reduce" commands
216 - Added "extract_counter" command
217 - Added "opt_demorgan" command
218 - Added support for $size and $bits SystemVerilog functions
219 - Added "blackbox" command
220 - Added "ltp" command
221 - Added support for editline as replacement for readline
222 - Added warnings for driver-driver conflicts between FFs (and other cells) and constants
223 - Added "yosys -E" for creating Makefile dependencies files
224 - Added "synth -noshare"
225 - Added "memory_nordff"
226 - Added "setundef -undef -expose -anyconst"
227 - Added "expose -input"
228 - Added specify/specparam parser support (simply ignore them)
229 - Added "write_blif -inames -iattr"
230 - Added "hierarchy -simcheck"
231 - Added an option to statically link abc into yosys
232 - Added protobuf back-end
233 - Added BLIF parsing support for .conn and .cname
234 - Added read_verilog error checking for reg/wire/logic misuse
235 - Added "make coverage" and ENABLE_GCOV build option
236
237 * Changes in Yosys APIs
238 - Added ConstEval defaultval feature
239 - Added {get,set}_src_attribute() methods on RTLIL::AttrObject
240 - Added SigSpec::is_fully_ones() and Const::is_fully_ones()
241 - Added log_file_warning() and log_file_error() functions
242
243 * Formal Verification
244 - Added "write_aiger"
245 - Added "yosys-smtbmc --aig"
246 - Added "always <positive_int>" to .smtc format
247 - Added $cover cell type and support for cover properties
248 - Added $fair/$live cell type and support for liveness properties
249 - Added smtbmc support for memory vcd dumping
250 - Added "chformal" command
251 - Added "write_smt2 -stbv" and "write_smt2 -stdt"
252 - Fix equiv_simple, old behavior now available with "equiv_simple -short"
253 - Change to Yices2 as default SMT solver (it is GPL now)
254 - Added "yosys-smtbmc --presat" (now default in SymbiYosys)
255 - Added "yosys-smtbmc --smtc-init --smtc-top --noinit"
256 - Added a brand new "write_btor" command for BTOR2
257 - Added clk2fflogic memory support and other improvements
258 - Added "async memory write" support to write_smt2
259 - Simulate clock toggling in yosys-smtbmc VCD output
260 - Added $allseq/$allconst cells for EA-solving
261 - Make -nordff the default in "prep"
262 - Added (* gclk *) attribute
263 - Added "async2sync" pass for single-clock designs with async resets
264
265 * Verific support
266 - Many improvements in Verific front-end
267 - Added proper handling of concurent SVA properties
268 - Map "const" and "rand const" to $anyseq/$anyconst
269 - Added "verific -import -flatten" and "verific -import -extnets"
270 - Added "verific -vlog-incdir -vlog-define -vlog-libdir"
271 - Remove PSL support (because PSL has been removed in upstream Verific)
272 - Improve integration with "hierarchy" command design elaboration
273 - Added YOSYS_NOVERIFIC for running non-verific test cases with verific bin
274 - Added simpilied "read" command that automatically uses verific if available
275 - Added "verific -set-<severity> <msg_id>.."
276 - Added "verific -work <libname>"
277
278 * New back-ends
279 - Added initial Coolrunner-II support
280 - Added initial eASIC support
281 - Added initial ECP5 support
282
283 * GreenPAK Support
284 - Added support for GP_DLATCH, GP_SPI, GP_DCMx, GP_COUNTx, etc.
285
286 * iCE40 Support
287 - Add "synth_ice40 -vpr"
288 - Add "synth_ice40 -nodffe"
289 - Add "synth_ice40 -json"
290 - Add Support for UltraPlus cells
291
292 * MAX10 and Cyclone IV Support
293 - Added initial version of metacommand "synth_intel".
294 - Improved write_verilog command to produce VQM netlist for Quartus Prime.
295 - Added support for MAX10 FPGA family synthesis.
296 - Added support for Cyclone IV family synthesis.
297 - Added example of implementation for DE2i-150 board.
298 - Added example of implementation for MAX10 development kit.
299 - Added LFSR example from Asic World.
300 - Added "dffinit -highlow" for mapping to Intel primitives
301
302
303 Yosys 0.6 .. Yosys 0.7
304 ----------------------
305
306 * Various
307 - Added "yosys -D" feature
308 - Added support for installed plugins in $(DATDIR)/plugins/
309 - Renamed opt_const to opt_expr
310 - Renamed opt_share to opt_merge
311 - Added "prep -flatten" and "synth -flatten"
312 - Added "prep -auto-top" and "synth -auto-top"
313 - Using "mfs" and "lutpack" in ABC lut mapping
314 - Support for abstract modules in chparam
315 - Cleanup abstract modules at end of "hierarchy -top"
316 - Added tristate buffer support to iopadmap
317 - Added opt_expr support for div/mod by power-of-two
318 - Added "select -assert-min <N> -assert-max <N>"
319 - Added "attrmvcp" pass
320 - Added "attrmap" command
321 - Added "tee +INT -INT"
322 - Added "zinit" pass
323 - Added "setparam -type"
324 - Added "shregmap" pass
325 - Added "setundef -init"
326 - Added "nlutmap -assert"
327 - Added $sop cell type and "abc -sop -I <num> -P <num>"
328 - Added "dc2" to default ABC scripts
329 - Added "deminout"
330 - Added "insbuf" command
331 - Added "prep -nomem"
332 - Added "opt_rmdff -keepdc"
333 - Added "prep -nokeepdc"
334 - Added initial version of "synth_gowin"
335 - Added "fsm_expand -full"
336 - Added support for fsm_encoding="user"
337 - Many improvements in GreenPAK4 support
338 - Added black box modules for all Xilinx 7-series lib cells
339 - Added synth_ice40 support for latches via logic loops
340 - Fixed ice40_opt lut unmapping, added "ice40_opt -unlut"
341
342 * Build System
343 - Added ABCEXTERNAL and ABCURL make variables
344 - Added BINDIR, LIBDIR, and DATDIR make variables
345 - Added PKG_CONFIG make variable
346 - Added SEED make variable (for "make test")
347 - Added YOSYS_VER_STR make variable
348 - Updated min GCC requirement to GCC 4.8
349 - Updated required Bison version to Bison 3.x
350
351 * Internal APIs
352 - Added ast.h to exported headers
353 - Added ScriptPass helper class for script-like passes
354 - Added CellEdgesDatabase API
355
356 * Front-ends and Back-ends
357 - Added filename glob support to all front-ends
358 - Added avail (black-box) module params to ilang format
359 - Added $display %m support
360 - Added support for $stop Verilog system task
361 - Added support for SystemVerilog packages
362 - Fixed procedural assignments to non-unique lvalues, e.g. {y,y} = {a,b}
363 - Added support for "active high" and "active low" latches in read_blif and write_blif
364 - Use init value "2" for all uninitialized FFs in BLIF back-end
365 - Added "read_blif -sop"
366 - Added "write_blif -noalias"
367 - Added various write_blif options for VTR support
368 - write_json: also write module attributes.
369 - Added "write_verilog -nodec -nostr -defparam"
370 - Added "read_verilog -norestrict -assume-asserts"
371 - Added support for bus interfaces to "read_liberty -lib"
372 - Added liberty parser support for types within cell decls
373 - Added "write_verilog -renameprefix -v"
374 - Added "write_edif -nogndvcc"
375
376 * Formal Verification
377 - Support for hierarchical designs in smt2 back-end
378 - Yosys-smtbmc: Support for hierarchical VCD dumping
379 - Added $initstate cell type and vlog function
380 - Added $anyconst and $anyseq cell types and vlog functions
381 - Added printing of code loc of failed asserts to yosys-smtbmc
382 - Added memory_memx pass, "memory -memx", and "prep -memx"
383 - Added "proc_mux -ifx"
384 - Added "yosys-smtbmc -g"
385 - Deprecated "write_smt2 -regs" (by default on now)
386 - Made "write_smt2 -bv -mem" default, added "write_smt2 -nobv -nomem"
387 - Added support for memories to smtio.py
388 - Added "yosys-smtbmc --dump-vlogtb"
389 - Added "yosys-smtbmc --smtc --dump-smtc"
390 - Added "yosys-smtbmc --dump-all"
391 - Added assertpmux command
392 - Added "yosys-smtbmc --unroll"
393 - Added $past, $stable, $rose, $fell SVA functions
394 - Added "yosys-smtbmc --noinfo and --dummy"
395 - Added "yosys-smtbmc --noincr"
396 - Added "yosys-smtbmc --cex <filename>"
397 - Added $ff and $_FF_ cell types
398 - Added $global_clock verilog syntax support for creating $ff cells
399 - Added clk2fflogic
400
401
402 Yosys 0.5 .. Yosys 0.6
403 ----------------------
404
405 * Various
406 - Added Contributor Covenant Code of Conduct
407 - Various improvements in dict<> and pool<>
408 - Added hashlib::mfp and refactored SigMap
409 - Improved support for reals as module parameters
410 - Various improvements in SMT2 back-end
411 - Added "keep_hierarchy" attribute
412 - Verilog front-end: define `BLACKBOX in -lib mode
413 - Added API for converting internal cells to AIGs
414 - Added ENABLE_LIBYOSYS Makefile option
415 - Removed "techmap -share_map" (use "-map +/filename" instead)
416 - Switched all Python scripts to Python 3
417 - Added support for $display()/$write() and $finish() to Verilog front-end
418 - Added "yosys-smtbmc" formal verification flow
419 - Added options for clang sanitizers to Makefile
420
421 * New commands and options
422 - Added "scc -expect <N> -nofeedback"
423 - Added "proc_dlatch"
424 - Added "check"
425 - Added "select %xe %cie %coe %M %C %R"
426 - Added "sat -dump_json" (WaveJSON format)
427 - Added "sat -tempinduct-baseonly -tempinduct-inductonly"
428 - Added "sat -stepsize" and "sat -tempinduct-step"
429 - Added "sat -show-regs -show-public -show-all"
430 - Added "write_json" (Native Yosys JSON format)
431 - Added "write_blif -attr"
432 - Added "dffinit"
433 - Added "chparam"
434 - Added "muxcover"
435 - Added "pmuxtree"
436 - Added memory_bram "make_outreg" feature
437 - Added "splice -wires"
438 - Added "dff2dffe -direct-match"
439 - Added simplemap $lut support
440 - Added "read_blif"
441 - Added "opt_share -share_all"
442 - Added "aigmap"
443 - Added "write_smt2 -mem -regs -wires"
444 - Added "memory -nordff"
445 - Added "write_smv"
446 - Added "synth -nordff -noalumacc"
447 - Added "rename -top new_name"
448 - Added "opt_const -clkinv"
449 - Added "synth -nofsm"
450 - Added "miter -assert"
451 - Added "read_verilog -noautowire"
452 - Added "read_verilog -nodpi"
453 - Added "tribuf"
454 - Added "lut2mux"
455 - Added "nlutmap"
456 - Added "qwp"
457 - Added "test_cell -noeval"
458 - Added "edgetypes"
459 - Added "equiv_struct"
460 - Added "equiv_purge"
461 - Added "equiv_mark"
462 - Added "equiv_add -try -cell"
463 - Added "singleton"
464 - Added "abc -g -luts"
465 - Added "torder"
466 - Added "write_blif -cname"
467 - Added "submod -copy"
468 - Added "dffsr2dff"
469 - Added "stat -liberty"
470
471 * Synthesis metacommands
472 - Various improvements in synth_xilinx
473 - Added synth_ice40 and synth_greenpak4
474 - Added "prep" metacommand for "synthesis lite"
475
476 * Cell library changes
477 - Added cell types to "help" system
478 - Added $meminit cell type
479 - Added $assume cell type
480 - Added $_MUX4_, $_MUX8_, and $_MUX16_ cells
481 - Added $tribuf and $_TBUF_ cell types
482 - Added read-enable to memory model
483
484 * YosysJS
485 - Various improvements in emscripten build
486 - Added alternative webworker-based JS API
487 - Added a few example applications
488
489
490 Yosys 0.4 .. Yosys 0.5
491 ----------------------
492
493 * API changes
494 - Added log_warning()
495 - Added eval_select_args() and eval_select_op()
496 - Added cell->known(), cell->input(portname), cell->output(portname)
497 - Skip blackbox modules in design->selected_modules()
498 - Replaced std::map<> and std::set<> with dict<> and pool<>
499 - New SigSpec::extend() is what used to be SigSpec::extend_u0()
500 - Added YS_OVERRIDE, YS_FINAL, YS_ATTRIBUTE, YS_NORETURN
501
502 * Cell library changes
503 - Added flip-flops with enable ($dffe etc.)
504 - Added $equiv cells for equivalence checking framework
505
506 * Various
507 - Updated ABC to hg rev 61ad5f908c03
508 - Added clock domain partitioning to ABC pass
509 - Improved plugin building (see "yosys-config --build")
510 - Added ENABLE_NDEBUG Makefile flag for high-performance builds
511 - Added "yosys -d", "yosys -L" and other driver improvements
512 - Added support for multi-bit (array) cell ports to "write_edif"
513 - Now printing most output to stdout, not stderr
514 - Added "onehot" attribute (set by "fsm_map")
515 - Various performance improvements
516 - Vastly improved Xilinx flow
517 - Added "make unsintall"
518
519 * Equivalence checking
520 - Added equivalence checking commands:
521 equiv_make equiv_simple equiv_status
522 equiv_induct equiv_miter
523 equiv_add equiv_remove
524
525 * Block RAM support:
526 - Added "memory_bram" command
527 - Added BRAM support to Xilinx flow
528
529 * Other New Commands and Options
530 - Added "dff2dffe"
531 - Added "fsm -encfile"
532 - Added "dfflibmap -prepare"
533 - Added "write_blid -unbuf -undef -blackbox"
534 - Added "write_smt2" for writing SMT-LIBv2 files
535 - Added "test_cell -w -muxdiv"
536 - Added "select -read"
537
538
539 Yosys 0.3.0 .. Yosys 0.4
540 ------------------------
541
542 * Platform Support
543 - Added support for mxe-based cross-builds for win32
544 - Added sourcecode-export as VisualStudio project
545 - Added experimental EMCC (JavaScript) support
546
547 * Verilog Frontend
548 - Added -sv option for SystemVerilog (and automatic *.sv file support)
549 - Added support for real-valued constants and constant expressions
550 - Added support for non-standard "via_celltype" attribute on task/func
551 - Added support for non-standard "module mod_name(...);" syntax
552 - Added support for non-standard """ macro bodies
553 - Added support for array with more than one dimension
554 - Added support for $readmemh and $readmemb
555 - Added support for DPI functions
556
557 * Changes in internal cell library
558 - Added $shift and $shiftx cell types
559 - Added $alu, $lcu, $fa and $macc cell types
560 - Removed $bu0 and $safe_pmux cell types
561 - $mem/$memwr WR_EN input is now a per-data-bit enable signal
562 - Added $_NAND_ $_NOR_ $_XNOR_ $_AOI3_ $_OAI3_ $_AOI4_ $_OAI4_
563 - Renamed ports of $lut cells (from I->O to A->Y)
564 - Renamed $_INV_ to $_NOT_
565
566 * Changes for simple synthesis flows
567 - There is now a "synth" command with a recommended default script
568 - Many improvements in synthesis of arithmetic functions to gates
569 - Multipliers and adders with many operands are using carry-save adder trees
570 - Remaining adders are now implemented using Brent-Kung carry look-ahead adders
571 - Various new high-level optimizations on RTL netlist
572 - Various improvements in FSM optimization
573 - Updated ABC to hg 5b5af75f1dda (from 2014-11-07)
574
575 * Changes in internal APIs and RTLIL
576 - Added log_id() and log_cell() helper functions
577 - Added function-like cell creation helpers
578 - Added GetSize() function (like .size() but with int)
579 - Major refactoring of RTLIL::Module and related classes
580 - Major refactoring of RTLIL::SigSpec and related classes
581 - Now RTLIL::IdString is essentially an int
582 - Added macros for code coverage counters
583 - Added some Makefile magic for pretty make logs
584 - Added "kernel/yosys.h" with all the core definitions
585 - Changed a lot of code from FILE* to c++ streams
586 - Added RTLIL::Monitor API and "trace" command
587 - Added "Yosys" C++ namespace
588
589 * Changes relevant to SAT solving
590 - Added ezSAT::keep_cnf() and ezSAT::non_incremental()
591 - Added native ezSAT support for vector shift ops
592 - Updated MiniSAT to git 37dc6c67e2 (from 2013-09-25)
593
594 * New commands (or large improvements to commands)
595 - Added "synth" command with default script
596 - Added "share" (finally some real resource sharing)
597 - Added "memory_share" (reduce number of ports on memories)
598 - Added "wreduce" and "alumacc" commands
599 - Added "opt -keepdc -fine -full -fast"
600 - Added some "test_*" commands
601
602 * Various other changes
603 - Added %D and %c select operators
604 - Added support for labels in yosys scripts
605 - Added support for here-documents in yosys scripts
606 - Support "+/" prefix for files from proc_share_dir
607 - Added "autoidx" statement to ilang language
608 - Switched from "yosys-svgviewer" to "xdot"
609 - Renamed "stdcells.v" to "techmap.v"
610 - Various bug fixes and small improvements
611 - Improved welcome and bye messages
612
613
614 Yosys 0.2.0 .. Yosys 0.3.0
615 --------------------------
616
617 * Driver program and overall behavior:
618 - Added "design -push" and "design -pop"
619 - Added "tee" command for redirecting log output
620
621 * Changes in the internal cell library:
622 - Added $dlatchsr and $_DLATCHSR_???_ cell types
623
624 * Improvements in Verilog frontend:
625 - Improved support for const functions (case, always, repeat)
626 - The generate..endgenerate keywords are now optional
627 - Added support for arrays of module instances
628 - Added support for "`default_nettype" directive
629 - Added support for "`line" directive
630
631 * Other front- and back-ends:
632 - Various changes to "write_blif" options
633 - Various improvements in EDIF backend
634 - Added "vhdl2verilog" pseudo-front-end
635 - Added "verific" pseudo-front-end
636
637 * Improvements in technology mapping:
638 - Added support for recursive techmap
639 - Added CONSTMSK and CONSTVAL features to techmap
640 - Added _TECHMAP_CONNMAP_*_ feature to techmap
641 - Added _TECHMAP_REPLACE_ feature to techmap
642 - Added "connwrappers" command for wrap-extract-unwrap method
643 - Added "extract -map %<design_name>" feature
644 - Added "extract -ignore_param ..." and "extract -ignore_parameters"
645 - Added "techmap -max_iter" option
646
647 * Improvements to "eval" and "sat" framework:
648 - Now include a copy of Minisat (with build fixes applied)
649 - Switched to Minisat::SimpSolver as SAT back-end
650 - Added "sat -dump_vcd" feature
651 - Added "sat -dump_cnf" feature
652 - Added "sat -initsteps <N>" feature
653 - Added "freduce -stop <N>" feature
654 - Added "freduce -dump <prefix>" feature
655
656 * Integration with ABC:
657 - Updated ABC rev to 7600ffb9340c
658
659 * Improvements in the internal APIs:
660 - Added RTLIL::Module::add... helper methods
661 - Various build fixes for OSX (Darwin) and OpenBSD
662
663
664 Yosys 0.1.0 .. Yosys 0.2.0
665 --------------------------
666
667 * Changes to the driver program:
668 - Added "yosys -h" and "yosys -H"
669 - Added support for backslash line continuation in scripts
670 - Added support for #-comments in same line as command
671 - Added "echo" and "log" commands
672
673 * Improvements in Verilog frontend:
674 - Added support for local registers in named blocks
675 - Added support for "case" in "generate" blocks
676 - Added support for $clog2 system function
677 - Added support for basic SystemVerilog assert statements
678 - Added preprocessor support for macro arguments
679 - Added preprocessor support for `elsif statement
680 - Added "verilog_defaults" command
681 - Added read_verilog -icells option
682 - Added support for constant sizes from parameters
683 - Added "read_verilog -setattr"
684 - Added support for function returning 'integer'
685 - Added limited support for function calls in parameter values
686 - Added "read_verilog -defer" to suppress evaluation of modules with default parameters
687
688 * Other front- and back-ends:
689 - Added BTOR backend
690 - Added Liberty frontend
691
692 * Improvements in technology mapping:
693 - The "dfflibmap" command now strongly prefers solutions with
694 no inverters in clock paths
695 - The "dfflibmap" command now prefers cells with smaller area
696 - Added support for multiple -map options to techmap
697 - Added "dfflibmap" support for //-comments in liberty files
698 - Added "memory_unpack" command to revert "memory_collect"
699 - Added standard techmap rule "techmap -share_map pmux2mux.v"
700 - Added "iopadmap -bits"
701 - Added "setundef" command
702 - Added "hilomap" command
703
704 * Changes in the internal cell library:
705 - Major rewrite of simlib.v for better compatibility with other tools
706 - Added PRIORITY parameter to $memwr cells
707 - Added TRANSPARENT parameter to $memrd cells
708 - Added RD_TRANSPARENT parameter to $mem cells
709 - Added $bu0 cell (always 0-extend, even undef MSB)
710 - Added $assert cell type
711 - Added $slice and $concat cell types
712
713 * Integration with ABC:
714 - Updated ABC to hg rev 2058c8ccea68
715 - Tighter integration of ABC build with Yosys build. The make
716 targets 'make abc' and 'make install-abc' are now obsolete.
717 - Added support for passing FFs from one clock domain through ABC
718 - Now always use BLIF as exchange format with ABC
719 - Added support for "abc -script +<command_sequence>"
720 - Improved standard ABC recipe
721 - Added support for "keep" attribute to abc command
722 - Added "abc -dff / -clk / -keepff" options
723
724 * Improvements to "eval" and "sat" framework:
725 - Added support for "0" and "~0" in right-hand side -set expressions
726 - Added "eval -set-undef" and "eval -table"
727 - Added "sat -set-init" and "sat -set-init-*" for sequential problems
728 - Added undef support to SAT solver, incl. various new "sat" options
729 - Added correct support for === and !== for "eval" and "sat"
730 - Added "sat -tempinduct" (default -seq is now non-induction sequential)
731 - Added "sat -prove-asserts"
732 - Complete rewrite of the 'freduce' command
733 - Added "miter" command
734 - Added "sat -show-inputs" and "sat -show-outputs"
735 - Added "sat -ignore_unknown_cells" (now produce an error by default)
736 - Added "sat -falsify"
737 - Now "sat -verify" and "sat -falsify" can also be used without "-prove"
738 - Added "expose" command
739 - Added support for @<sel_name> to sat and eval signal expressions
740
741 * Changes in the 'make test' framework and auxiliary test tools:
742 - Added autotest.sh -p and -f options
743 - Replaced autotest.sh ISIM support with XSIM support
744 - Added test cases for SAT framework
745
746 * Added "abbreviated IDs":
747 - Now $<something>$foo can be abbreviated as $foo.
748 - Usually this last part is a unique id (from RTLIL::autoidx)
749 - This abbreviated IDs are now also used in "show" output
750
751 * Other changes to selection framework:
752 - Now */ is optional in */<mode>:<arg> expressions
753 - Added "select -assert-none" and "select -assert-any"
754 - Added support for matching modules by attribute (A:<expr>)
755 - Added "select -none"
756 - Added support for r:<expr> pattern for matching cell parameters
757 - Added support for !=, <, <=, >=, > for attribute and parameter matching
758 - Added support for %s for selecting sub-modules
759 - Added support for %m for expanding selections to whole modules
760 - Added support for i:*, o:* and x:* pattern for selecting module ports
761 - Added support for s:<expr> pattern for matching wire width
762 - Added support for %a operation to select wire aliases
763
764 * Various other changes to commands and options:
765 - The "ls" command now supports wildcards
766 - Added "show -pause" and "show -format dot"
767 - Added "show -color" support for cells
768 - Added "show -label" and "show -notitle"
769 - Added "dump -m" and "dump -n"
770 - Added "history" command
771 - Added "rename -hide"
772 - Added "connect" command
773 - Added "splitnets -driver"
774 - Added "opt_const -mux_undef"
775 - Added "opt_const -mux_bool"
776 - Added "opt_const -undriven"
777 - Added "opt -mux_undef -mux_bool -undriven -purge"
778 - Added "hierarchy -libdir"
779 - Added "hierarchy -purge_lib" (by default now do not remove lib cells)
780 - Added "delete" command
781 - Added "dump -append"
782 - Added "setattr" and "setparam" commands
783 - Added "design -stash/-copy-from/-copy-to"
784 - Added "copy" command
785 - Added "splice" command
786