Merge pull request #1289 from mmicko/anlogic_fixes
[yosys.git] / CHANGELOG
1
2 List of major changes and improvements between releases
3 =======================================================
4
5
6 Yosys 0.9 .. Yosys 0.9-dev
7 --------------------------
8
9 * Various
10 - Added "write_xaiger" backend
11 - Added "abc9" pass for timing-aware techmapping (experimental, FPGA only, no FFs)
12 - Added "synth_xilinx -abc9" (experimental)
13 - Added "synth_ice40 -abc9" (experimental)
14 - Added "synth -abc9" (experimental)
15 - Added "script -scriptwire
16 - "synth_xilinx" to now infer wide multiplexers (-widemux <min> to enable)
17 - Renamed labels/options in synth_ice40 (e.g. dram -> map_lutram; -nodram -> -nolutram)
18 - Renamed labels/options in synth_ecp5 (e.g. dram -> map_lutram; -nodram -> -nolutram)
19 - Renamed labels in synth_intel (e.g. bram -> map_bram)
20 - Renamed labels/options in synth_xilinx (e.g. dram -> map_lutram; -nodram -> -nolutram)
21 - Added automatic gzip decompression for frontends
22 - Added $_NMUX_ cell type
23 - Added automatic gzip compression (based on filename extension) for backends
24 - Improve attribute and parameter encoding in JSON to avoid ambiguities between
25 bit vectors and strings containing [01xz]*
26 - Improvements in pmgen: subpattern and recursive matches
27 - Added "opt_share" pass, run as part of "opt -full"
28 - Added "ice40_wrapcarry" to encapsulate SB_LUT+SB_CARRY pairs for techmapping
29 - Removed "ice40_unlut"
30
31 Yosys 0.8 .. Yosys 0.8-dev
32 --------------------------
33
34 * Various
35 - Added $changed support to read_verilog
36 - Added "write_edif -attrprop"
37 - Added "ice40_unlut" pass
38 - Added "opt_lut" pass
39 - Added "synth_ice40 -relut"
40 - Added "synth_ice40 -noabc"
41 - Added "gate2lut.v" techmap rule
42 - Added "rename -src"
43 - Added "equiv_opt" pass
44 - Added "shregmap -tech xilinx"
45 - Added "read_aiger" frontend
46 - Added "muxcover -mux{4,8,16}=<cost>"
47 - Added "muxcover -dmux=<cost>"
48 - Added "muxcover -nopartial"
49 - Added "muxpack" pass
50 - Added "pmux2shiftx -norange"
51 - Added "synth_xilinx -nocarry"
52 - Added "synth_xilinx -nowidelut"
53 - Added "synth_ecp5 -nowidelut"
54 - "synth_xilinx" to now infer hard shift registers (-nosrl to disable)
55 - Fixed sign extension of unsized constants with 'bx and 'bz MSB
56
57
58 Yosys 0.7 .. Yosys 0.8
59 ----------------------
60
61 * Various
62 - Many bugfixes and small improvements
63 - Strip debug symbols from installed binary
64 - Replace -ignore_redef with -[no]overwrite in front-ends
65 - Added write_verilog hex dump support, add -nohex option
66 - Added "write_verilog -decimal"
67 - Added "scc -set_attr"
68 - Added "verilog_defines" command
69 - Remember defines from one read_verilog to next
70 - Added support for hierarchical defparam
71 - Added FIRRTL back-end
72 - Improved ABC default scripts
73 - Added "design -reset-vlog"
74 - Added "yosys -W regex", "yosys -w regex", and "yosys -e regex"
75 - Added Verilog $rtoi and $itor support
76 - Added "check -initdrv"
77 - Added "read_blif -wideports"
78 - Added support for SystemVerilog "++" and "--" operators
79 - Added support for SystemVerilog unique, unique0, and priority case
80 - Added "write_edif" options for edif "flavors"
81 - Added support for resetall compiler directive
82 - Added simple C beck-end (bitwise combinatorical only atm)
83 - Added $_ANDNOT_ and $_ORNOT_ cell types
84 - Added cell library aliases to "abc -g"
85 - Added "setundef -anyseq"
86 - Added "chtype" command
87 - Added "design -import"
88 - Added "write_table" command
89 - Added "read_json" command
90 - Added "sim" command
91 - Added "extract_fa" and "extract_reduce" commands
92 - Added "extract_counter" command
93 - Added "opt_demorgan" command
94 - Added support for $size and $bits SystemVerilog functions
95 - Added "blackbox" command
96 - Added "ltp" command
97 - Added support for editline as replacement for readline
98 - Added warnings for driver-driver conflicts between FFs (and other cells) and constants
99 - Added "yosys -E" for creating Makefile dependencies files
100 - Added "synth -noshare"
101 - Added "memory_nordff"
102 - Added "setundef -undef -expose -anyconst"
103 - Added "expose -input"
104 - Added specify/specparam parser support (simply ignore them)
105 - Added "write_blif -inames -iattr"
106 - Added "hierarchy -simcheck"
107 - Added an option to statically link abc into yosys
108 - Added protobuf back-end
109 - Added BLIF parsing support for .conn and .cname
110 - Added read_verilog error checking for reg/wire/logic misuse
111 - Added "make coverage" and ENABLE_GCOV build option
112
113 * Changes in Yosys APIs
114 - Added ConstEval defaultval feature
115 - Added {get,set}_src_attribute() methods on RTLIL::AttrObject
116 - Added SigSpec::is_fully_ones() and Const::is_fully_ones()
117 - Added log_file_warning() and log_file_error() functions
118
119 * Formal Verification
120 - Added "write_aiger"
121 - Added "yosys-smtbmc --aig"
122 - Added "always <positive_int>" to .smtc format
123 - Added $cover cell type and support for cover properties
124 - Added $fair/$live cell type and support for liveness properties
125 - Added smtbmc support for memory vcd dumping
126 - Added "chformal" command
127 - Added "write_smt2 -stbv" and "write_smt2 -stdt"
128 - Fix equiv_simple, old behavior now available with "equiv_simple -short"
129 - Change to Yices2 as default SMT solver (it is GPL now)
130 - Added "yosys-smtbmc --presat" (now default in SymbiYosys)
131 - Added "yosys-smtbmc --smtc-init --smtc-top --noinit"
132 - Added a brand new "write_btor" command for BTOR2
133 - Added clk2fflogic memory support and other improvements
134 - Added "async memory write" support to write_smt2
135 - Simulate clock toggling in yosys-smtbmc VCD output
136 - Added $allseq/$allconst cells for EA-solving
137 - Make -nordff the default in "prep"
138 - Added (* gclk *) attribute
139 - Added "async2sync" pass for single-clock designs with async resets
140
141 * Verific support
142 - Many improvements in Verific front-end
143 - Added proper handling of concurent SVA properties
144 - Map "const" and "rand const" to $anyseq/$anyconst
145 - Added "verific -import -flatten" and "verific -import -extnets"
146 - Added "verific -vlog-incdir -vlog-define -vlog-libdir"
147 - Remove PSL support (because PSL has been removed in upstream Verific)
148 - Improve integration with "hierarchy" command design elaboration
149 - Added YOSYS_NOVERIFIC for running non-verific test cases with verific bin
150 - Added simpilied "read" command that automatically uses verific if available
151 - Added "verific -set-<severity> <msg_id>.."
152 - Added "verific -work <libname>"
153
154 * New back-ends
155 - Added initial Coolrunner-II support
156 - Added initial eASIC support
157 - Added initial ECP5 support
158
159 * GreenPAK Support
160 - Added support for GP_DLATCH, GP_SPI, GP_DCMx, GP_COUNTx, etc.
161
162 * iCE40 Support
163 - Add "synth_ice40 -vpr"
164 - Add "synth_ice40 -nodffe"
165 - Add "synth_ice40 -json"
166 - Add Support for UltraPlus cells
167
168 * MAX10 and Cyclone IV Support
169 - Added initial version of metacommand "synth_intel".
170 - Improved write_verilog command to produce VQM netlist for Quartus Prime.
171 - Added support for MAX10 FPGA family synthesis.
172 - Added support for Cyclone IV family synthesis.
173 - Added example of implementation for DE2i-150 board.
174 - Added example of implementation for MAX10 development kit.
175 - Added LFSR example from Asic World.
176 - Added "dffinit -highlow" for mapping to Intel primitives
177
178
179 Yosys 0.6 .. Yosys 0.7
180 ----------------------
181
182 * Various
183 - Added "yosys -D" feature
184 - Added support for installed plugins in $(DATDIR)/plugins/
185 - Renamed opt_const to opt_expr
186 - Renamed opt_share to opt_merge
187 - Added "prep -flatten" and "synth -flatten"
188 - Added "prep -auto-top" and "synth -auto-top"
189 - Using "mfs" and "lutpack" in ABC lut mapping
190 - Support for abstract modules in chparam
191 - Cleanup abstract modules at end of "hierarchy -top"
192 - Added tristate buffer support to iopadmap
193 - Added opt_expr support for div/mod by power-of-two
194 - Added "select -assert-min <N> -assert-max <N>"
195 - Added "attrmvcp" pass
196 - Added "attrmap" command
197 - Added "tee +INT -INT"
198 - Added "zinit" pass
199 - Added "setparam -type"
200 - Added "shregmap" pass
201 - Added "setundef -init"
202 - Added "nlutmap -assert"
203 - Added $sop cell type and "abc -sop -I <num> -P <num>"
204 - Added "dc2" to default ABC scripts
205 - Added "deminout"
206 - Added "insbuf" command
207 - Added "prep -nomem"
208 - Added "opt_rmdff -keepdc"
209 - Added "prep -nokeepdc"
210 - Added initial version of "synth_gowin"
211 - Added "fsm_expand -full"
212 - Added support for fsm_encoding="user"
213 - Many improvements in GreenPAK4 support
214 - Added black box modules for all Xilinx 7-series lib cells
215 - Added synth_ice40 support for latches via logic loops
216 - Fixed ice40_opt lut unmapping, added "ice40_opt -unlut"
217
218 * Build System
219 - Added ABCEXTERNAL and ABCURL make variables
220 - Added BINDIR, LIBDIR, and DATDIR make variables
221 - Added PKG_CONFIG make variable
222 - Added SEED make variable (for "make test")
223 - Added YOSYS_VER_STR make variable
224 - Updated min GCC requirement to GCC 4.8
225 - Updated required Bison version to Bison 3.x
226
227 * Internal APIs
228 - Added ast.h to exported headers
229 - Added ScriptPass helper class for script-like passes
230 - Added CellEdgesDatabase API
231
232 * Front-ends and Back-ends
233 - Added filename glob support to all front-ends
234 - Added avail (black-box) module params to ilang format
235 - Added $display %m support
236 - Added support for $stop Verilog system task
237 - Added support for SystemVerilog packages
238 - Fixed procedural assignments to non-unique lvalues, e.g. {y,y} = {a,b}
239 - Added support for "active high" and "active low" latches in read_blif and write_blif
240 - Use init value "2" for all uninitialized FFs in BLIF back-end
241 - Added "read_blif -sop"
242 - Added "write_blif -noalias"
243 - Added various write_blif options for VTR support
244 - write_json: also write module attributes.
245 - Added "write_verilog -nodec -nostr -defparam"
246 - Added "read_verilog -norestrict -assume-asserts"
247 - Added support for bus interfaces to "read_liberty -lib"
248 - Added liberty parser support for types within cell decls
249 - Added "write_verilog -renameprefix -v"
250 - Added "write_edif -nogndvcc"
251
252 * Formal Verification
253 - Support for hierarchical designs in smt2 back-end
254 - Yosys-smtbmc: Support for hierarchical VCD dumping
255 - Added $initstate cell type and vlog function
256 - Added $anyconst and $anyseq cell types and vlog functions
257 - Added printing of code loc of failed asserts to yosys-smtbmc
258 - Added memory_memx pass, "memory -memx", and "prep -memx"
259 - Added "proc_mux -ifx"
260 - Added "yosys-smtbmc -g"
261 - Deprecated "write_smt2 -regs" (by default on now)
262 - Made "write_smt2 -bv -mem" default, added "write_smt2 -nobv -nomem"
263 - Added support for memories to smtio.py
264 - Added "yosys-smtbmc --dump-vlogtb"
265 - Added "yosys-smtbmc --smtc --dump-smtc"
266 - Added "yosys-smtbmc --dump-all"
267 - Added assertpmux command
268 - Added "yosys-smtbmc --unroll"
269 - Added $past, $stable, $rose, $fell SVA functions
270 - Added "yosys-smtbmc --noinfo and --dummy"
271 - Added "yosys-smtbmc --noincr"
272 - Added "yosys-smtbmc --cex <filename>"
273 - Added $ff and $_FF_ cell types
274 - Added $global_clock verilog syntax support for creating $ff cells
275 - Added clk2fflogic
276
277
278 Yosys 0.5 .. Yosys 0.6
279 ----------------------
280
281 * Various
282 - Added Contributor Covenant Code of Conduct
283 - Various improvements in dict<> and pool<>
284 - Added hashlib::mfp and refactored SigMap
285 - Improved support for reals as module parameters
286 - Various improvements in SMT2 back-end
287 - Added "keep_hierarchy" attribute
288 - Verilog front-end: define `BLACKBOX in -lib mode
289 - Added API for converting internal cells to AIGs
290 - Added ENABLE_LIBYOSYS Makefile option
291 - Removed "techmap -share_map" (use "-map +/filename" instead)
292 - Switched all Python scripts to Python 3
293 - Added support for $display()/$write() and $finish() to Verilog front-end
294 - Added "yosys-smtbmc" formal verification flow
295 - Added options for clang sanitizers to Makefile
296
297 * New commands and options
298 - Added "scc -expect <N> -nofeedback"
299 - Added "proc_dlatch"
300 - Added "check"
301 - Added "select %xe %cie %coe %M %C %R"
302 - Added "sat -dump_json" (WaveJSON format)
303 - Added "sat -tempinduct-baseonly -tempinduct-inductonly"
304 - Added "sat -stepsize" and "sat -tempinduct-step"
305 - Added "sat -show-regs -show-public -show-all"
306 - Added "write_json" (Native Yosys JSON format)
307 - Added "write_blif -attr"
308 - Added "dffinit"
309 - Added "chparam"
310 - Added "muxcover"
311 - Added "pmuxtree"
312 - Added memory_bram "make_outreg" feature
313 - Added "splice -wires"
314 - Added "dff2dffe -direct-match"
315 - Added simplemap $lut support
316 - Added "read_blif"
317 - Added "opt_share -share_all"
318 - Added "aigmap"
319 - Added "write_smt2 -mem -regs -wires"
320 - Added "memory -nordff"
321 - Added "write_smv"
322 - Added "synth -nordff -noalumacc"
323 - Added "rename -top new_name"
324 - Added "opt_const -clkinv"
325 - Added "synth -nofsm"
326 - Added "miter -assert"
327 - Added "read_verilog -noautowire"
328 - Added "read_verilog -nodpi"
329 - Added "tribuf"
330 - Added "lut2mux"
331 - Added "nlutmap"
332 - Added "qwp"
333 - Added "test_cell -noeval"
334 - Added "edgetypes"
335 - Added "equiv_struct"
336 - Added "equiv_purge"
337 - Added "equiv_mark"
338 - Added "equiv_add -try -cell"
339 - Added "singleton"
340 - Added "abc -g -luts"
341 - Added "torder"
342 - Added "write_blif -cname"
343 - Added "submod -copy"
344 - Added "dffsr2dff"
345 - Added "stat -liberty"
346
347 * Synthesis metacommands
348 - Various improvements in synth_xilinx
349 - Added synth_ice40 and synth_greenpak4
350 - Added "prep" metacommand for "synthesis lite"
351
352 * Cell library changes
353 - Added cell types to "help" system
354 - Added $meminit cell type
355 - Added $assume cell type
356 - Added $_MUX4_, $_MUX8_, and $_MUX16_ cells
357 - Added $tribuf and $_TBUF_ cell types
358 - Added read-enable to memory model
359
360 * YosysJS
361 - Various improvements in emscripten build
362 - Added alternative webworker-based JS API
363 - Added a few example applications
364
365
366 Yosys 0.4 .. Yosys 0.5
367 ----------------------
368
369 * API changes
370 - Added log_warning()
371 - Added eval_select_args() and eval_select_op()
372 - Added cell->known(), cell->input(portname), cell->output(portname)
373 - Skip blackbox modules in design->selected_modules()
374 - Replaced std::map<> and std::set<> with dict<> and pool<>
375 - New SigSpec::extend() is what used to be SigSpec::extend_u0()
376 - Added YS_OVERRIDE, YS_FINAL, YS_ATTRIBUTE, YS_NORETURN
377
378 * Cell library changes
379 - Added flip-flops with enable ($dffe etc.)
380 - Added $equiv cells for equivalence checking framework
381
382 * Various
383 - Updated ABC to hg rev 61ad5f908c03
384 - Added clock domain partitioning to ABC pass
385 - Improved plugin building (see "yosys-config --build")
386 - Added ENABLE_NDEBUG Makefile flag for high-performance builds
387 - Added "yosys -d", "yosys -L" and other driver improvements
388 - Added support for multi-bit (array) cell ports to "write_edif"
389 - Now printing most output to stdout, not stderr
390 - Added "onehot" attribute (set by "fsm_map")
391 - Various performance improvements
392 - Vastly improved Xilinx flow
393 - Added "make unsintall"
394
395 * Equivalence checking
396 - Added equivalence checking commands:
397 equiv_make equiv_simple equiv_status
398 equiv_induct equiv_miter
399 equiv_add equiv_remove
400
401 * Block RAM support:
402 - Added "memory_bram" command
403 - Added BRAM support to Xilinx flow
404
405 * Other New Commands and Options
406 - Added "dff2dffe"
407 - Added "fsm -encfile"
408 - Added "dfflibmap -prepare"
409 - Added "write_blid -unbuf -undef -blackbox"
410 - Added "write_smt2" for writing SMT-LIBv2 files
411 - Added "test_cell -w -muxdiv"
412 - Added "select -read"
413
414
415 Yosys 0.3.0 .. Yosys 0.4
416 ------------------------
417
418 * Platform Support
419 - Added support for mxe-based cross-builds for win32
420 - Added sourcecode-export as VisualStudio project
421 - Added experimental EMCC (JavaScript) support
422
423 * Verilog Frontend
424 - Added -sv option for SystemVerilog (and automatic *.sv file support)
425 - Added support for real-valued constants and constant expressions
426 - Added support for non-standard "via_celltype" attribute on task/func
427 - Added support for non-standard "module mod_name(...);" syntax
428 - Added support for non-standard """ macro bodies
429 - Added support for array with more than one dimension
430 - Added support for $readmemh and $readmemb
431 - Added support for DPI functions
432
433 * Changes in internal cell library
434 - Added $shift and $shiftx cell types
435 - Added $alu, $lcu, $fa and $macc cell types
436 - Removed $bu0 and $safe_pmux cell types
437 - $mem/$memwr WR_EN input is now a per-data-bit enable signal
438 - Added $_NAND_ $_NOR_ $_XNOR_ $_AOI3_ $_OAI3_ $_AOI4_ $_OAI4_
439 - Renamed ports of $lut cells (from I->O to A->Y)
440 - Renamed $_INV_ to $_NOT_
441
442 * Changes for simple synthesis flows
443 - There is now a "synth" command with a recommended default script
444 - Many improvements in synthesis of arithmetic functions to gates
445 - Multipliers and adders with many operands are using carry-save adder trees
446 - Remaining adders are now implemented using Brent-Kung carry look-ahead adders
447 - Various new high-level optimizations on RTL netlist
448 - Various improvements in FSM optimization
449 - Updated ABC to hg 5b5af75f1dda (from 2014-11-07)
450
451 * Changes in internal APIs and RTLIL
452 - Added log_id() and log_cell() helper functions
453 - Added function-like cell creation helpers
454 - Added GetSize() function (like .size() but with int)
455 - Major refactoring of RTLIL::Module and related classes
456 - Major refactoring of RTLIL::SigSpec and related classes
457 - Now RTLIL::IdString is essentially an int
458 - Added macros for code coverage counters
459 - Added some Makefile magic for pretty make logs
460 - Added "kernel/yosys.h" with all the core definitions
461 - Changed a lot of code from FILE* to c++ streams
462 - Added RTLIL::Monitor API and "trace" command
463 - Added "Yosys" C++ namespace
464
465 * Changes relevant to SAT solving
466 - Added ezSAT::keep_cnf() and ezSAT::non_incremental()
467 - Added native ezSAT support for vector shift ops
468 - Updated MiniSAT to git 37dc6c67e2 (from 2013-09-25)
469
470 * New commands (or large improvements to commands)
471 - Added "synth" command with default script
472 - Added "share" (finally some real resource sharing)
473 - Added "memory_share" (reduce number of ports on memories)
474 - Added "wreduce" and "alumacc" commands
475 - Added "opt -keepdc -fine -full -fast"
476 - Added some "test_*" commands
477
478 * Various other changes
479 - Added %D and %c select operators
480 - Added support for labels in yosys scripts
481 - Added support for here-documents in yosys scripts
482 - Support "+/" prefix for files from proc_share_dir
483 - Added "autoidx" statement to ilang language
484 - Switched from "yosys-svgviewer" to "xdot"
485 - Renamed "stdcells.v" to "techmap.v"
486 - Various bug fixes and small improvements
487 - Improved welcome and bye messages
488
489
490 Yosys 0.2.0 .. Yosys 0.3.0
491 --------------------------
492
493 * Driver program and overall behavior:
494 - Added "design -push" and "design -pop"
495 - Added "tee" command for redirecting log output
496
497 * Changes in the internal cell library:
498 - Added $dlatchsr and $_DLATCHSR_???_ cell types
499
500 * Improvements in Verilog frontend:
501 - Improved support for const functions (case, always, repeat)
502 - The generate..endgenerate keywords are now optional
503 - Added support for arrays of module instances
504 - Added support for "`default_nettype" directive
505 - Added support for "`line" directive
506
507 * Other front- and back-ends:
508 - Various changes to "write_blif" options
509 - Various improvements in EDIF backend
510 - Added "vhdl2verilog" pseudo-front-end
511 - Added "verific" pseudo-front-end
512
513 * Improvements in technology mapping:
514 - Added support for recursive techmap
515 - Added CONSTMSK and CONSTVAL features to techmap
516 - Added _TECHMAP_CONNMAP_*_ feature to techmap
517 - Added _TECHMAP_REPLACE_ feature to techmap
518 - Added "connwrappers" command for wrap-extract-unwrap method
519 - Added "extract -map %<design_name>" feature
520 - Added "extract -ignore_param ..." and "extract -ignore_parameters"
521 - Added "techmap -max_iter" option
522
523 * Improvements to "eval" and "sat" framework:
524 - Now include a copy of Minisat (with build fixes applied)
525 - Switched to Minisat::SimpSolver as SAT back-end
526 - Added "sat -dump_vcd" feature
527 - Added "sat -dump_cnf" feature
528 - Added "sat -initsteps <N>" feature
529 - Added "freduce -stop <N>" feature
530 - Added "freduce -dump <prefix>" feature
531
532 * Integration with ABC:
533 - Updated ABC rev to 7600ffb9340c
534
535 * Improvements in the internal APIs:
536 - Added RTLIL::Module::add... helper methods
537 - Various build fixes for OSX (Darwin) and OpenBSD
538
539
540 Yosys 0.1.0 .. Yosys 0.2.0
541 --------------------------
542
543 * Changes to the driver program:
544 - Added "yosys -h" and "yosys -H"
545 - Added support for backslash line continuation in scripts
546 - Added support for #-comments in same line as command
547 - Added "echo" and "log" commands
548
549 * Improvements in Verilog frontend:
550 - Added support for local registers in named blocks
551 - Added support for "case" in "generate" blocks
552 - Added support for $clog2 system function
553 - Added support for basic SystemVerilog assert statements
554 - Added preprocessor support for macro arguments
555 - Added preprocessor support for `elsif statement
556 - Added "verilog_defaults" command
557 - Added read_verilog -icells option
558 - Added support for constant sizes from parameters
559 - Added "read_verilog -setattr"
560 - Added support for function returning 'integer'
561 - Added limited support for function calls in parameter values
562 - Added "read_verilog -defer" to suppress evaluation of modules with default parameters
563
564 * Other front- and back-ends:
565 - Added BTOR backend
566 - Added Liberty frontend
567
568 * Improvements in technology mapping:
569 - The "dfflibmap" command now strongly prefers solutions with
570 no inverters in clock paths
571 - The "dfflibmap" command now prefers cells with smaller area
572 - Added support for multiple -map options to techmap
573 - Added "dfflibmap" support for //-comments in liberty files
574 - Added "memory_unpack" command to revert "memory_collect"
575 - Added standard techmap rule "techmap -share_map pmux2mux.v"
576 - Added "iopadmap -bits"
577 - Added "setundef" command
578 - Added "hilomap" command
579
580 * Changes in the internal cell library:
581 - Major rewrite of simlib.v for better compatibility with other tools
582 - Added PRIORITY parameter to $memwr cells
583 - Added TRANSPARENT parameter to $memrd cells
584 - Added RD_TRANSPARENT parameter to $mem cells
585 - Added $bu0 cell (always 0-extend, even undef MSB)
586 - Added $assert cell type
587 - Added $slice and $concat cell types
588
589 * Integration with ABC:
590 - Updated ABC to hg rev 2058c8ccea68
591 - Tighter integration of ABC build with Yosys build. The make
592 targets 'make abc' and 'make install-abc' are now obsolete.
593 - Added support for passing FFs from one clock domain through ABC
594 - Now always use BLIF as exchange format with ABC
595 - Added support for "abc -script +<command_sequence>"
596 - Improved standard ABC recipe
597 - Added support for "keep" attribute to abc command
598 - Added "abc -dff / -clk / -keepff" options
599
600 * Improvements to "eval" and "sat" framework:
601 - Added support for "0" and "~0" in right-hand side -set expressions
602 - Added "eval -set-undef" and "eval -table"
603 - Added "sat -set-init" and "sat -set-init-*" for sequential problems
604 - Added undef support to SAT solver, incl. various new "sat" options
605 - Added correct support for === and !== for "eval" and "sat"
606 - Added "sat -tempinduct" (default -seq is now non-induction sequential)
607 - Added "sat -prove-asserts"
608 - Complete rewrite of the 'freduce' command
609 - Added "miter" command
610 - Added "sat -show-inputs" and "sat -show-outputs"
611 - Added "sat -ignore_unknown_cells" (now produce an error by default)
612 - Added "sat -falsify"
613 - Now "sat -verify" and "sat -falsify" can also be used without "-prove"
614 - Added "expose" command
615 - Added support for @<sel_name> to sat and eval signal expressions
616
617 * Changes in the 'make test' framework and auxiliary test tools:
618 - Added autotest.sh -p and -f options
619 - Replaced autotest.sh ISIM support with XSIM support
620 - Added test cases for SAT framework
621
622 * Added "abbreviated IDs":
623 - Now $<something>$foo can be abbreviated as $foo.
624 - Usually this last part is a unique id (from RTLIL::autoidx)
625 - This abbreviated IDs are now also used in "show" output
626
627 * Other changes to selection framework:
628 - Now */ is optional in */<mode>:<arg> expressions
629 - Added "select -assert-none" and "select -assert-any"
630 - Added support for matching modules by attribute (A:<expr>)
631 - Added "select -none"
632 - Added support for r:<expr> pattern for matching cell parameters
633 - Added support for !=, <, <=, >=, > for attribute and parameter matching
634 - Added support for %s for selecting sub-modules
635 - Added support for %m for expanding selections to whole modules
636 - Added support for i:*, o:* and x:* pattern for selecting module ports
637 - Added support for s:<expr> pattern for matching wire width
638 - Added support for %a operation to select wire aliases
639
640 * Various other changes to commands and options:
641 - The "ls" command now supports wildcards
642 - Added "show -pause" and "show -format dot"
643 - Added "show -color" support for cells
644 - Added "show -label" and "show -notitle"
645 - Added "dump -m" and "dump -n"
646 - Added "history" command
647 - Added "rename -hide"
648 - Added "connect" command
649 - Added "splitnets -driver"
650 - Added "opt_const -mux_undef"
651 - Added "opt_const -mux_bool"
652 - Added "opt_const -undriven"
653 - Added "opt -mux_undef -mux_bool -undriven -purge"
654 - Added "hierarchy -libdir"
655 - Added "hierarchy -purge_lib" (by default now do not remove lib cells)
656 - Added "delete" command
657 - Added "dump -append"
658 - Added "setattr" and "setparam" commands
659 - Added "design -stash/-copy-from/-copy-to"
660 - Added "copy" command
661 - Added "splice" command
662