Merge branch 'koriakin/xc7nocarrymux' into xaig
[yosys.git] / CHANGELOG
1
2 List of major changes and improvements between releases
3 =======================================================
4
5
6 Yosys 0.8 .. Yosys 0.8-dev
7 --------------------------
8
9 * Various
10 - Added $changed support to read_verilog
11 - Added "write_edif -attrprop"
12 - Added "ice40_unlut" pass
13 - Added "opt_lut" pass
14 - Added "synth_ice40 -relut"
15 - Added "synth_ice40 -noabc"
16 - Added "gate2lut.v" techmap rule
17 - Added "rename -src"
18 - Added "equiv_opt" pass
19 - Added "shregmap -tech xilinx"
20 - Added "read_aiger" frontend
21 - Added "muxcover -mux{4,8,16}=<cost>"
22 - Added "muxcover -dmux=<cost>"
23 - Added "muxcover -nopartial"
24 - Added "muxpack" pass
25 - Added "abc9" pass for timing-aware techmapping (experimental, FPGA only, no FFs)
26 - Added "synth_xilinx -abc9" (experimental)
27 - Added "synth_ice40 -abc9" (experimental)
28 - Added "synth -abc9" (experimental)
29 - "synth_xilinx" to now infer hard shift registers (-nosrl to disable)
30 - Fixed sign extension of unsized constants with 'bx and 'bz MSB
31
32
33 Yosys 0.7 .. Yosys 0.8
34 ----------------------
35
36 * Various
37 - Many bugfixes and small improvements
38 - Strip debug symbols from installed binary
39 - Replace -ignore_redef with -[no]overwrite in front-ends
40 - Added write_verilog hex dump support, add -nohex option
41 - Added "write_verilog -decimal"
42 - Added "scc -set_attr"
43 - Added "verilog_defines" command
44 - Remember defines from one read_verilog to next
45 - Added support for hierarchical defparam
46 - Added FIRRTL back-end
47 - Improved ABC default scripts
48 - Added "design -reset-vlog"
49 - Added "yosys -W regex", "yosys -w regex", and "yosys -e regex"
50 - Added Verilog $rtoi and $itor support
51 - Added "check -initdrv"
52 - Added "read_blif -wideports"
53 - Added support for systemVerilog "++" and "--" operators
54 - Added support for SystemVerilog unique, unique0, and priority case
55 - Added "write_edif" options for edif "flavors"
56 - Added support for resetall compiler directive
57 - Added simple C beck-end (bitwise combinatorical only atm)
58 - Added $_ANDNOT_ and $_ORNOT_ cell types
59 - Added cell library aliases to "abc -g"
60 - Added "setundef -anyseq"
61 - Added "chtype" command
62 - Added "design -import"
63 - Added "write_table" command
64 - Added "read_json" command
65 - Added "sim" command
66 - Added "extract_fa" and "extract_reduce" commands
67 - Added "extract_counter" command
68 - Added "opt_demorgan" command
69 - Added support for $size and $bits SystemVerilog functions
70 - Added "blackbox" command
71 - Added "ltp" command
72 - Added support for editline as replacement for readline
73 - Added warnings for driver-driver conflicts between FFs (and other cells) and constants
74 - Added "yosys -E" for creating Makefile dependencies files
75 - Added "synth -noshare"
76 - Added "memory_nordff"
77 - Added "setundef -undef -expose -anyconst"
78 - Added "expose -input"
79 - Added specify/specparam parser support (simply ignore them)
80 - Added "write_blif -inames -iattr"
81 - Added "hierarchy -simcheck"
82 - Added an option to statically link abc into yosys
83 - Added protobuf back-end
84 - Added BLIF parsing support for .conn and .cname
85 - Added read_verilog error checking for reg/wire/logic misuse
86 - Added "make coverage" and ENABLE_GCOV build option
87
88 * Changes in Yosys APIs
89 - Added ConstEval defaultval feature
90 - Added {get,set}_src_attribute() methods on RTLIL::AttrObject
91 - Added SigSpec::is_fully_ones() and Const::is_fully_ones()
92 - Added log_file_warning() and log_file_error() functions
93
94 * Formal Verification
95 - Added "write_aiger"
96 - Added "yosys-smtbmc --aig"
97 - Added "always <positive_int>" to .smtc format
98 - Added $cover cell type and support for cover properties
99 - Added $fair/$live cell type and support for liveness properties
100 - Added smtbmc support for memory vcd dumping
101 - Added "chformal" command
102 - Added "write_smt2 -stbv" and "write_smt2 -stdt"
103 - Fix equiv_simple, old behavior now available with "equiv_simple -short"
104 - Change to Yices2 as default SMT solver (it is GPL now)
105 - Added "yosys-smtbmc --presat" (now default in SymbiYosys)
106 - Added "yosys-smtbmc --smtc-init --smtc-top --noinit"
107 - Added a brand new "write_btor" command for BTOR2
108 - Added clk2fflogic memory support and other improvements
109 - Added "async memory write" support to write_smt2
110 - Simulate clock toggling in yosys-smtbmc VCD output
111 - Added $allseq/$allconst cells for EA-solving
112 - Make -nordff the default in "prep"
113 - Added (* gclk *) attribute
114 - Added "async2sync" pass for single-clock designs with async resets
115
116 * Verific support
117 - Many improvements in Verific front-end
118 - Added proper handling of concurent SVA properties
119 - Map "const" and "rand const" to $anyseq/$anyconst
120 - Added "verific -import -flatten" and "verific -import -extnets"
121 - Added "verific -vlog-incdir -vlog-define -vlog-libdir"
122 - Remove PSL support (because PSL has been removed in upstream Verific)
123 - Improve integration with "hierarchy" command design elaboration
124 - Added YOSYS_NOVERIFIC for running non-verific test cases with verific bin
125 - Added simpilied "read" command that automatically uses verific if available
126 - Added "verific -set-<severity> <msg_id>.."
127 - Added "verific -work <libname>"
128
129 * New back-ends
130 - Added initial Coolrunner-II support
131 - Added initial eASIC support
132 - Added initial ECP5 support
133
134 * GreenPAK Support
135 - Added support for GP_DLATCH, GP_SPI, GP_DCMx, GP_COUNTx, etc.
136
137 * iCE40 Support
138 - Add "synth_ice40 -vpr"
139 - Add "synth_ice40 -nodffe"
140 - Add "synth_ice40 -json"
141 - Add Support for UltraPlus cells
142
143 * MAX10 and Cyclone IV Support
144 - Added initial version of metacommand "synth_intel".
145 - Improved write_verilog command to produce VQM netlist for Quartus Prime.
146 - Added support for MAX10 FPGA family synthesis.
147 - Added support for Cyclone IV family synthesis.
148 - Added example of implementation for DE2i-150 board.
149 - Added example of implementation for MAX10 development kit.
150 - Added LFSR example from Asic World.
151 - Added "dffinit -highlow" for mapping to Intel primitives
152
153
154 Yosys 0.6 .. Yosys 0.7
155 ----------------------
156
157 * Various
158 - Added "yosys -D" feature
159 - Added support for installed plugins in $(DATDIR)/plugins/
160 - Renamed opt_const to opt_expr
161 - Renamed opt_share to opt_merge
162 - Added "prep -flatten" and "synth -flatten"
163 - Added "prep -auto-top" and "synth -auto-top"
164 - Using "mfs" and "lutpack" in ABC lut mapping
165 - Support for abstract modules in chparam
166 - Cleanup abstract modules at end of "hierarchy -top"
167 - Added tristate buffer support to iopadmap
168 - Added opt_expr support for div/mod by power-of-two
169 - Added "select -assert-min <N> -assert-max <N>"
170 - Added "attrmvcp" pass
171 - Added "attrmap" command
172 - Added "tee +INT -INT"
173 - Added "zinit" pass
174 - Added "setparam -type"
175 - Added "shregmap" pass
176 - Added "setundef -init"
177 - Added "nlutmap -assert"
178 - Added $sop cell type and "abc -sop -I <num> -P <num>"
179 - Added "dc2" to default ABC scripts
180 - Added "deminout"
181 - Added "insbuf" command
182 - Added "prep -nomem"
183 - Added "opt_rmdff -keepdc"
184 - Added "prep -nokeepdc"
185 - Added initial version of "synth_gowin"
186 - Added "fsm_expand -full"
187 - Added support for fsm_encoding="user"
188 - Many improvements in GreenPAK4 support
189 - Added black box modules for all Xilinx 7-series lib cells
190 - Added synth_ice40 support for latches via logic loops
191 - Fixed ice40_opt lut unmapping, added "ice40_opt -unlut"
192
193 * Build System
194 - Added ABCEXTERNAL and ABCURL make variables
195 - Added BINDIR, LIBDIR, and DATDIR make variables
196 - Added PKG_CONFIG make variable
197 - Added SEED make variable (for "make test")
198 - Added YOSYS_VER_STR make variable
199 - Updated min GCC requirement to GCC 4.8
200 - Updated required Bison version to Bison 3.x
201
202 * Internal APIs
203 - Added ast.h to exported headers
204 - Added ScriptPass helper class for script-like passes
205 - Added CellEdgesDatabase API
206
207 * Front-ends and Back-ends
208 - Added filename glob support to all front-ends
209 - Added avail (black-box) module params to ilang format
210 - Added $display %m support
211 - Added support for $stop Verilog system task
212 - Added support for SystemVerilog packages
213 - Fixed procedural assignments to non-unique lvalues, e.g. {y,y} = {a,b}
214 - Added support for "active high" and "active low" latches in read_blif and write_blif
215 - Use init value "2" for all uninitialized FFs in BLIF back-end
216 - Added "read_blif -sop"
217 - Added "write_blif -noalias"
218 - Added various write_blif options for VTR support
219 - write_json: also write module attributes.
220 - Added "write_verilog -nodec -nostr -defparam"
221 - Added "read_verilog -norestrict -assume-asserts"
222 - Added support for bus interfaces to "read_liberty -lib"
223 - Added liberty parser support for types within cell decls
224 - Added "write_verilog -renameprefix -v"
225 - Added "write_edif -nogndvcc"
226
227 * Formal Verification
228 - Support for hierarchical designs in smt2 back-end
229 - Yosys-smtbmc: Support for hierarchical VCD dumping
230 - Added $initstate cell type and vlog function
231 - Added $anyconst and $anyseq cell types and vlog functions
232 - Added printing of code loc of failed asserts to yosys-smtbmc
233 - Added memory_memx pass, "memory -memx", and "prep -memx"
234 - Added "proc_mux -ifx"
235 - Added "yosys-smtbmc -g"
236 - Deprecated "write_smt2 -regs" (by default on now)
237 - Made "write_smt2 -bv -mem" default, added "write_smt2 -nobv -nomem"
238 - Added support for memories to smtio.py
239 - Added "yosys-smtbmc --dump-vlogtb"
240 - Added "yosys-smtbmc --smtc --dump-smtc"
241 - Added "yosys-smtbmc --dump-all"
242 - Added assertpmux command
243 - Added "yosys-smtbmc --unroll"
244 - Added $past, $stable, $rose, $fell SVA functions
245 - Added "yosys-smtbmc --noinfo and --dummy"
246 - Added "yosys-smtbmc --noincr"
247 - Added "yosys-smtbmc --cex <filename>"
248 - Added $ff and $_FF_ cell types
249 - Added $global_clock verilog syntax support for creating $ff cells
250 - Added clk2fflogic
251
252
253 Yosys 0.5 .. Yosys 0.6
254 ----------------------
255
256 * Various
257 - Added Contributor Covenant Code of Conduct
258 - Various improvements in dict<> and pool<>
259 - Added hashlib::mfp and refactored SigMap
260 - Improved support for reals as module parameters
261 - Various improvements in SMT2 back-end
262 - Added "keep_hierarchy" attribute
263 - Verilog front-end: define `BLACKBOX in -lib mode
264 - Added API for converting internal cells to AIGs
265 - Added ENABLE_LIBYOSYS Makefile option
266 - Removed "techmap -share_map" (use "-map +/filename" instead)
267 - Switched all Python scripts to Python 3
268 - Added support for $display()/$write() and $finish() to Verilog front-end
269 - Added "yosys-smtbmc" formal verification flow
270 - Added options for clang sanitizers to Makefile
271
272 * New commands and options
273 - Added "scc -expect <N> -nofeedback"
274 - Added "proc_dlatch"
275 - Added "check"
276 - Added "select %xe %cie %coe %M %C %R"
277 - Added "sat -dump_json" (WaveJSON format)
278 - Added "sat -tempinduct-baseonly -tempinduct-inductonly"
279 - Added "sat -stepsize" and "sat -tempinduct-step"
280 - Added "sat -show-regs -show-public -show-all"
281 - Added "write_json" (Native Yosys JSON format)
282 - Added "write_blif -attr"
283 - Added "dffinit"
284 - Added "chparam"
285 - Added "muxcover"
286 - Added "pmuxtree"
287 - Added memory_bram "make_outreg" feature
288 - Added "splice -wires"
289 - Added "dff2dffe -direct-match"
290 - Added simplemap $lut support
291 - Added "read_blif"
292 - Added "opt_share -share_all"
293 - Added "aigmap"
294 - Added "write_smt2 -mem -regs -wires"
295 - Added "memory -nordff"
296 - Added "write_smv"
297 - Added "synth -nordff -noalumacc"
298 - Added "rename -top new_name"
299 - Added "opt_const -clkinv"
300 - Added "synth -nofsm"
301 - Added "miter -assert"
302 - Added "read_verilog -noautowire"
303 - Added "read_verilog -nodpi"
304 - Added "tribuf"
305 - Added "lut2mux"
306 - Added "nlutmap"
307 - Added "qwp"
308 - Added "test_cell -noeval"
309 - Added "edgetypes"
310 - Added "equiv_struct"
311 - Added "equiv_purge"
312 - Added "equiv_mark"
313 - Added "equiv_add -try -cell"
314 - Added "singleton"
315 - Added "abc -g -luts"
316 - Added "torder"
317 - Added "write_blif -cname"
318 - Added "submod -copy"
319 - Added "dffsr2dff"
320 - Added "stat -liberty"
321
322 * Synthesis metacommands
323 - Various improvements in synth_xilinx
324 - Added synth_ice40 and synth_greenpak4
325 - Added "prep" metacommand for "synthesis lite"
326
327 * Cell library changes
328 - Added cell types to "help" system
329 - Added $meminit cell type
330 - Added $assume cell type
331 - Added $_MUX4_, $_MUX8_, and $_MUX16_ cells
332 - Added $tribuf and $_TBUF_ cell types
333 - Added read-enable to memory model
334
335 * YosysJS
336 - Various improvements in emscripten build
337 - Added alternative webworker-based JS API
338 - Added a few example applications
339
340
341 Yosys 0.4 .. Yosys 0.5
342 ----------------------
343
344 * API changes
345 - Added log_warning()
346 - Added eval_select_args() and eval_select_op()
347 - Added cell->known(), cell->input(portname), cell->output(portname)
348 - Skip blackbox modules in design->selected_modules()
349 - Replaced std::map<> and std::set<> with dict<> and pool<>
350 - New SigSpec::extend() is what used to be SigSpec::extend_u0()
351 - Added YS_OVERRIDE, YS_FINAL, YS_ATTRIBUTE, YS_NORETURN
352
353 * Cell library changes
354 - Added flip-flops with enable ($dffe etc.)
355 - Added $equiv cells for equivalence checking framework
356
357 * Various
358 - Updated ABC to hg rev 61ad5f908c03
359 - Added clock domain partitioning to ABC pass
360 - Improved plugin building (see "yosys-config --build")
361 - Added ENABLE_NDEBUG Makefile flag for high-performance builds
362 - Added "yosys -d", "yosys -L" and other driver improvements
363 - Added support for multi-bit (array) cell ports to "write_edif"
364 - Now printing most output to stdout, not stderr
365 - Added "onehot" attribute (set by "fsm_map")
366 - Various performance improvements
367 - Vastly improved Xilinx flow
368 - Added "make unsintall"
369
370 * Equivalence checking
371 - Added equivalence checking commands:
372 equiv_make equiv_simple equiv_status
373 equiv_induct equiv_miter
374 equiv_add equiv_remove
375
376 * Block RAM support:
377 - Added "memory_bram" command
378 - Added BRAM support to Xilinx flow
379
380 * Other New Commands and Options
381 - Added "dff2dffe"
382 - Added "fsm -encfile"
383 - Added "dfflibmap -prepare"
384 - Added "write_blid -unbuf -undef -blackbox"
385 - Added "write_smt2" for writing SMT-LIBv2 files
386 - Added "test_cell -w -muxdiv"
387 - Added "select -read"
388
389
390 Yosys 0.3.0 .. Yosys 0.4
391 ------------------------
392
393 * Platform Support
394 - Added support for mxe-based cross-builds for win32
395 - Added sourcecode-export as VisualStudio project
396 - Added experimental EMCC (JavaScript) support
397
398 * Verilog Frontend
399 - Added -sv option for SystemVerilog (and automatic *.sv file support)
400 - Added support for real-valued constants and constant expressions
401 - Added support for non-standard "via_celltype" attribute on task/func
402 - Added support for non-standard "module mod_name(...);" syntax
403 - Added support for non-standard """ macro bodies
404 - Added support for array with more than one dimension
405 - Added support for $readmemh and $readmemb
406 - Added support for DPI functions
407
408 * Changes in internal cell library
409 - Added $shift and $shiftx cell types
410 - Added $alu, $lcu, $fa and $macc cell types
411 - Removed $bu0 and $safe_pmux cell types
412 - $mem/$memwr WR_EN input is now a per-data-bit enable signal
413 - Added $_NAND_ $_NOR_ $_XNOR_ $_AOI3_ $_OAI3_ $_AOI4_ $_OAI4_
414 - Renamed ports of $lut cells (from I->O to A->Y)
415 - Renamed $_INV_ to $_NOT_
416
417 * Changes for simple synthesis flows
418 - There is now a "synth" command with a recommended default script
419 - Many improvements in synthesis of arithmetic functions to gates
420 - Multipliers and adders with many operands are using carry-save adder trees
421 - Remaining adders are now implemented using Brent-Kung carry look-ahead adders
422 - Various new high-level optimizations on RTL netlist
423 - Various improvements in FSM optimization
424 - Updated ABC to hg 5b5af75f1dda (from 2014-11-07)
425
426 * Changes in internal APIs and RTLIL
427 - Added log_id() and log_cell() helper functions
428 - Added function-like cell creation helpers
429 - Added GetSize() function (like .size() but with int)
430 - Major refactoring of RTLIL::Module and related classes
431 - Major refactoring of RTLIL::SigSpec and related classes
432 - Now RTLIL::IdString is essentially an int
433 - Added macros for code coverage counters
434 - Added some Makefile magic for pretty make logs
435 - Added "kernel/yosys.h" with all the core definitions
436 - Changed a lot of code from FILE* to c++ streams
437 - Added RTLIL::Monitor API and "trace" command
438 - Added "Yosys" C++ namespace
439
440 * Changes relevant to SAT solving
441 - Added ezSAT::keep_cnf() and ezSAT::non_incremental()
442 - Added native ezSAT support for vector shift ops
443 - Updated MiniSAT to git 37dc6c67e2 (from 2013-09-25)
444
445 * New commands (or large improvements to commands)
446 - Added "synth" command with default script
447 - Added "share" (finally some real resource sharing)
448 - Added "memory_share" (reduce number of ports on memories)
449 - Added "wreduce" and "alumacc" commands
450 - Added "opt -keepdc -fine -full -fast"
451 - Added some "test_*" commands
452
453 * Various other changes
454 - Added %D and %c select operators
455 - Added support for labels in yosys scripts
456 - Added support for here-documents in yosys scripts
457 - Support "+/" prefix for files from proc_share_dir
458 - Added "autoidx" statement to ilang language
459 - Switched from "yosys-svgviewer" to "xdot"
460 - Renamed "stdcells.v" to "techmap.v"
461 - Various bug fixes and small improvements
462 - Improved welcome and bye messages
463
464
465 Yosys 0.2.0 .. Yosys 0.3.0
466 --------------------------
467
468 * Driver program and overall behavior:
469 - Added "design -push" and "design -pop"
470 - Added "tee" command for redirecting log output
471
472 * Changes in the internal cell library:
473 - Added $dlatchsr and $_DLATCHSR_???_ cell types
474
475 * Improvements in Verilog frontend:
476 - Improved support for const functions (case, always, repeat)
477 - The generate..endgenerate keywords are now optional
478 - Added support for arrays of module instances
479 - Added support for "`default_nettype" directive
480 - Added support for "`line" directive
481
482 * Other front- and back-ends:
483 - Various changes to "write_blif" options
484 - Various improvements in EDIF backend
485 - Added "vhdl2verilog" pseudo-front-end
486 - Added "verific" pseudo-front-end
487
488 * Improvements in technology mapping:
489 - Added support for recursive techmap
490 - Added CONSTMSK and CONSTVAL features to techmap
491 - Added _TECHMAP_CONNMAP_*_ feature to techmap
492 - Added _TECHMAP_REPLACE_ feature to techmap
493 - Added "connwrappers" command for wrap-extract-unwrap method
494 - Added "extract -map %<design_name>" feature
495 - Added "extract -ignore_param ..." and "extract -ignore_parameters"
496 - Added "techmap -max_iter" option
497
498 * Improvements to "eval" and "sat" framework:
499 - Now include a copy of Minisat (with build fixes applied)
500 - Switched to Minisat::SimpSolver as SAT back-end
501 - Added "sat -dump_vcd" feature
502 - Added "sat -dump_cnf" feature
503 - Added "sat -initsteps <N>" feature
504 - Added "freduce -stop <N>" feature
505 - Added "freduce -dump <prefix>" feature
506
507 * Integration with ABC:
508 - Updated ABC rev to 7600ffb9340c
509
510 * Improvements in the internal APIs:
511 - Added RTLIL::Module::add... helper methods
512 - Various build fixes for OSX (Darwin) and OpenBSD
513
514
515 Yosys 0.1.0 .. Yosys 0.2.0
516 --------------------------
517
518 * Changes to the driver program:
519 - Added "yosys -h" and "yosys -H"
520 - Added support for backslash line continuation in scripts
521 - Added support for #-comments in same line as command
522 - Added "echo" and "log" commands
523
524 * Improvements in Verilog frontend:
525 - Added support for local registers in named blocks
526 - Added support for "case" in "generate" blocks
527 - Added support for $clog2 system function
528 - Added support for basic SystemVerilog assert statements
529 - Added preprocessor support for macro arguments
530 - Added preprocessor support for `elsif statement
531 - Added "verilog_defaults" command
532 - Added read_verilog -icells option
533 - Added support for constant sizes from parameters
534 - Added "read_verilog -setattr"
535 - Added support for function returning 'integer'
536 - Added limited support for function calls in parameter values
537 - Added "read_verilog -defer" to suppress evaluation of modules with default parameters
538
539 * Other front- and back-ends:
540 - Added BTOR backend
541 - Added Liberty frontend
542
543 * Improvements in technology mapping:
544 - The "dfflibmap" command now strongly prefers solutions with
545 no inverters in clock paths
546 - The "dfflibmap" command now prefers cells with smaller area
547 - Added support for multiple -map options to techmap
548 - Added "dfflibmap" support for //-comments in liberty files
549 - Added "memory_unpack" command to revert "memory_collect"
550 - Added standard techmap rule "techmap -share_map pmux2mux.v"
551 - Added "iopadmap -bits"
552 - Added "setundef" command
553 - Added "hilomap" command
554
555 * Changes in the internal cell library:
556 - Major rewrite of simlib.v for better compatibility with other tools
557 - Added PRIORITY parameter to $memwr cells
558 - Added TRANSPARENT parameter to $memrd cells
559 - Added RD_TRANSPARENT parameter to $mem cells
560 - Added $bu0 cell (always 0-extend, even undef MSB)
561 - Added $assert cell type
562 - Added $slice and $concat cell types
563
564 * Integration with ABC:
565 - Updated ABC to hg rev 2058c8ccea68
566 - Tighter integration of ABC build with Yosys build. The make
567 targets 'make abc' and 'make install-abc' are now obsolete.
568 - Added support for passing FFs from one clock domain through ABC
569 - Now always use BLIF as exchange format with ABC
570 - Added support for "abc -script +<command_sequence>"
571 - Improved standard ABC recipe
572 - Added support for "keep" attribute to abc command
573 - Added "abc -dff / -clk / -keepff" options
574
575 * Improvements to "eval" and "sat" framework:
576 - Added support for "0" and "~0" in right-hand side -set expressions
577 - Added "eval -set-undef" and "eval -table"
578 - Added "sat -set-init" and "sat -set-init-*" for sequential problems
579 - Added undef support to SAT solver, incl. various new "sat" options
580 - Added correct support for === and !== for "eval" and "sat"
581 - Added "sat -tempinduct" (default -seq is now non-induction sequential)
582 - Added "sat -prove-asserts"
583 - Complete rewrite of the 'freduce' command
584 - Added "miter" command
585 - Added "sat -show-inputs" and "sat -show-outputs"
586 - Added "sat -ignore_unknown_cells" (now produce an error by default)
587 - Added "sat -falsify"
588 - Now "sat -verify" and "sat -falsify" can also be used without "-prove"
589 - Added "expose" command
590 - Added support for @<sel_name> to sat and eval signal expressions
591
592 * Changes in the 'make test' framework and auxiliary test tools:
593 - Added autotest.sh -p and -f options
594 - Replaced autotest.sh ISIM support with XSIM support
595 - Added test cases for SAT framework
596
597 * Added "abbreviated IDs":
598 - Now $<something>$foo can be abbreviated as $foo.
599 - Usually this last part is a unique id (from RTLIL::autoidx)
600 - This abbreviated IDs are now also used in "show" output
601
602 * Other changes to selection framework:
603 - Now */ is optional in */<mode>:<arg> expressions
604 - Added "select -assert-none" and "select -assert-any"
605 - Added support for matching modules by attribute (A:<expr>)
606 - Added "select -none"
607 - Added support for r:<expr> pattern for matching cell parameters
608 - Added support for !=, <, <=, >=, > for attribute and parameter matching
609 - Added support for %s for selecting sub-modules
610 - Added support for %m for expanding selections to whole modules
611 - Added support for i:*, o:* and x:* pattern for selecting module ports
612 - Added support for s:<expr> pattern for matching wire width
613 - Added support for %a operation to select wire aliases
614
615 * Various other changes to commands and options:
616 - The "ls" command now supports wildcards
617 - Added "show -pause" and "show -format dot"
618 - Added "show -color" support for cells
619 - Added "show -label" and "show -notitle"
620 - Added "dump -m" and "dump -n"
621 - Added "history" command
622 - Added "rename -hide"
623 - Added "connect" command
624 - Added "splitnets -driver"
625 - Added "opt_const -mux_undef"
626 - Added "opt_const -mux_bool"
627 - Added "opt_const -undriven"
628 - Added "opt -mux_undef -mux_bool -undriven -purge"
629 - Added "hierarchy -libdir"
630 - Added "hierarchy -purge_lib" (by default now do not remove lib cells)
631 - Added "delete" command
632 - Added "dump -append"
633 - Added "setattr" and "setparam" commands
634 - Added "design -stash/-copy-from/-copy-to"
635 - Added "copy" command
636 - Added "splice" command
637