Merge branch 'master' into xc7dsp
[yosys.git] / CHANGELOG
1
2 List of major changes and improvements between releases
3 =======================================================
4
5
6 Yosys 0.9 .. Yosys 0.9-dev
7 --------------------------
8
9 * Various
10 - Added "write_xaiger" backend
11 - Added "abc9" pass for timing-aware techmapping (experimental, FPGA only, no FFs)
12 - Added "synth_xilinx -abc9" (experimental)
13 - Added "synth_ice40 -abc9" (experimental)
14 - Added "synth -abc9" (experimental)
15 - Added "script -scriptwire"
16 - Added "synth_xilinx -nocarry"
17 - Added "synth_xilinx -nowidelut"
18 - Added "synth_ecp5 -nowidelut"
19 - "synth_xilinx" to now infer wide multiplexers (-widemux <min> to enable)
20 - Renamed labels/options in synth_ice40 (e.g. dram -> map_lutram; -nodram -> -nolutram)
21 - Renamed labels/options in synth_ecp5 (e.g. dram -> map_lutram; -nodram -> -nolutram)
22 - Renamed labels in synth_intel (e.g. bram -> map_bram)
23 - Renamed labels/options in synth_xilinx (e.g. dram -> map_lutram; -nodram -> -nolutram)
24 - Added automatic gzip decompression for frontends
25 - Added $_NMUX_ cell type
26 - Added automatic gzip compression (based on filename extension) for backends
27 - Improve attribute and parameter encoding in JSON to avoid ambiguities between
28 bit vectors and strings containing [01xz]*
29 - Added "clkbufmap" pass
30 - Added "synth_xilinx -family xc6s" for Spartan 6 support (experimental)
31 - Added "synth_xilinx -ise" (experimental)
32 - Added "synth_xilinx -iopad"
33 - "synth_xilinx" now automatically inserts clock buffers (add -noclkbuf to disable)
34 - Improvements in pmgen: subpattern and recursive matches
35 - Added "opt_share" pass, run as part of "opt -full"
36 - Added "ice40_wrapcarry" to encapsulate SB_LUT+SB_CARRY pairs for techmapping
37 - Removed "ice40_unlut"
38 - Improvements in pmgen: slices, choices, define, generate
39
40 Yosys 0.8 .. Yosys 0.9
41 ----------------------
42
43 * Various
44 - Many bugfixes and small improvements
45 - Added support for SystemVerilog interfaces and modports
46 - Added "write_edif -attrprop"
47 - Added "opt_lut" pass
48 - Added "gate2lut.v" techmap rule
49 - Added "rename -src"
50 - Added "equiv_opt" pass
51 - Added "flowmap" LUT mapping pass
52 - Added "rename -wire" to rename cells based on the wires they drive
53 - Added "bugpoint" for creating minimised testcases
54 - Added "write_edif -gndvccy"
55 - "write_verilog" to escape Verilog keywords
56 - Fixed sign handling of real constants
57 - "write_verilog" to write initial statement for initial flop state
58 - Added pmgen pattern matcher generator
59 - Fixed opt_rmdff handling of $_DFFSR_???_ and $_DLATCHSR_???_
60 - Added "setundef -params" to replace undefined cell parameters
61 - Renamed "yosys -D" to "yosys -U", added "yosys -D" to set Verilog defines
62 - Fixed handling of defparam when default_nettype is none
63 - Fixed "wreduce" flipflop handling
64 - Fixed FIRRTL to Verilog process instance subfield assignment
65 - Added "write_verilog -siminit"
66 - Several fixes and improvements for mem2reg memories
67 - Fixed handling of task output ports in clocked always blocks
68 - Improved handling of and-with-1 and or-with-0 in "opt_expr"
69 - Added "read_aiger" frontend
70 - Added "mutate" pass
71 - Added "hdlname" attribute
72 - Added "rename -output"
73 - Added "read_ilang -lib"
74 - Improved "proc" full_case detection and handling
75 - Added "whitebox" and "lib_whitebox" attributes
76 - Added "read_verilog -nowb", "flatten -wb" and "wbflip"
77 - Added Python bindings and support for Python plug-ins
78 - Added "pmux2shiftx"
79 - Added log_debug framework for reduced default verbosity
80 - Improved "opt_expr" and "opt_clean" handling of (partially) undriven and/or unused wires
81 - Added "peepopt" peephole optimisation pass using pmgen
82 - Added approximate support for SystemVerilog "var" keyword
83 - Added parsing of "specify" blocks into $specrule and $specify[23]
84 - Added support for attributes on parameters and localparams
85 - Added support for parsing attributes on port connections
86 - Added "wreduce -keepdc"
87 - Added support for optimising $dffe and $_DFFE_* cells in "opt_rmdff"
88 - Added Verilog wand/wor wire type support
89 - Added support for elaboration system tasks
90 - Added "muxcover -mux{4,8,16}=<cost>"
91 - Added "muxcover -dmux=<cost>"
92 - Added "muxcover -nopartial"
93 - Added "muxpack" pass
94 - Added "pmux2shiftx -norange"
95 - Added support for "~" in filename parsing
96 - Added "read_verilog -pwires" feature to turn parameters into wires
97 - Fixed sign extension of unsized constants with 'bx and 'bz MSB
98 - Fixed genvar to be a signed type
99 - Added support for attributes on case rules
100 - Added "upto" and "offset" to JSON frontend and backend
101 - Several liberty file parser improvements
102 - Fixed handling of more complex BRAM patterns
103 - Add "write_aiger -I -O -B"
104
105 * Formal Verification
106 - Added $changed support to read_verilog
107 - Added "read_verilog -noassert -noassume -assert-assumes"
108 - Added btor ops for $mul, $div, $mod and $concat
109 - Added yosys-smtbmc support for btor witnesses
110 - Added "supercover" pass
111 - Fixed $global_clock handling vs autowire
112 - Added $dffsr support to "async2sync"
113 - Added "fmcombine" pass
114 - Added memory init support in "write_btor"
115 - Added "cutpoint" pass
116 - Changed "ne" to "neq" in btor2 output
117 - Added support for SVA "final" keyword
118 - Added "fmcombine -initeq -anyeq"
119 - Added timescale and generated-by header to yosys-smtbmc vcd output
120 - Improved BTOR2 handling of undriven wires
121
122 * Verific support
123 - Enabled Verific flags vhdl_support_variable_slice and veri_elaborate_top_level_modules_having_interface_ports
124 - Improved support for asymmetric memories
125 - Added "verific -chparam"
126 - Fixed "verific -extnets" for more complex situations
127 - Added "read -verific" and "read -noverific"
128 - Added "hierarchy -chparam"
129
130 * New back-ends
131 - Added initial Anlogic support
132 - Added initial SmartFusion2 and IGLOO2 support
133
134 * ECP5 support
135 - Added "synth_ecp5 -nowidelut"
136 - Added BRAM inference support to "synth_ecp5"
137 - Added support for transforming Diamond IO and flipflop primitives
138
139 * iCE40 support
140 - Added "ice40_unlut" pass
141 - Added "synth_ice40 -relut"
142 - Added "synth_ice40 -noabc"
143 - Added "synth_ice40 -dffe_min_ce_use"
144 - Added DSP inference support using pmgen
145 - Added support for initialising BRAM primitives from a file
146 - Added iCE40 Ultra RGB LED driver cells
147
148 * Xilinx support
149 - Use "write_edif -pvector bra" for Xilinx EDIF files
150 - Fixes for VPR place and route support with "synth_xilinx"
151 - Added more cell simulation models
152 - Added "synth_xilinx -family"
153 - Added "stat -tech xilinx" to estimate logic cell usage
154 - Added "synth_xilinx -nocarry"
155 - Added "synth_xilinx -nowidelut"
156 - "synth_xilinx" to now infer hard shift registers (-nosrl to disable)
157 - Added support for mapping RAM32X1D
158
159 Yosys 0.7 .. Yosys 0.8
160 ----------------------
161
162 * Various
163 - Many bugfixes and small improvements
164 - Strip debug symbols from installed binary
165 - Replace -ignore_redef with -[no]overwrite in front-ends
166 - Added write_verilog hex dump support, add -nohex option
167 - Added "write_verilog -decimal"
168 - Added "scc -set_attr"
169 - Added "verilog_defines" command
170 - Remember defines from one read_verilog to next
171 - Added support for hierarchical defparam
172 - Added FIRRTL back-end
173 - Improved ABC default scripts
174 - Added "design -reset-vlog"
175 - Added "yosys -W regex", "yosys -w regex", and "yosys -e regex"
176 - Added Verilog $rtoi and $itor support
177 - Added "check -initdrv"
178 - Added "read_blif -wideports"
179 - Added support for SystemVerilog "++" and "--" operators
180 - Added support for SystemVerilog unique, unique0, and priority case
181 - Added "write_edif" options for edif "flavors"
182 - Added support for resetall compiler directive
183 - Added simple C beck-end (bitwise combinatorical only atm)
184 - Added $_ANDNOT_ and $_ORNOT_ cell types
185 - Added cell library aliases to "abc -g"
186 - Added "setundef -anyseq"
187 - Added "chtype" command
188 - Added "design -import"
189 - Added "write_table" command
190 - Added "read_json" command
191 - Added "sim" command
192 - Added "extract_fa" and "extract_reduce" commands
193 - Added "extract_counter" command
194 - Added "opt_demorgan" command
195 - Added support for $size and $bits SystemVerilog functions
196 - Added "blackbox" command
197 - Added "ltp" command
198 - Added support for editline as replacement for readline
199 - Added warnings for driver-driver conflicts between FFs (and other cells) and constants
200 - Added "yosys -E" for creating Makefile dependencies files
201 - Added "synth -noshare"
202 - Added "memory_nordff"
203 - Added "setundef -undef -expose -anyconst"
204 - Added "expose -input"
205 - Added specify/specparam parser support (simply ignore them)
206 - Added "write_blif -inames -iattr"
207 - Added "hierarchy -simcheck"
208 - Added an option to statically link abc into yosys
209 - Added protobuf back-end
210 - Added BLIF parsing support for .conn and .cname
211 - Added read_verilog error checking for reg/wire/logic misuse
212 - Added "make coverage" and ENABLE_GCOV build option
213
214 * Changes in Yosys APIs
215 - Added ConstEval defaultval feature
216 - Added {get,set}_src_attribute() methods on RTLIL::AttrObject
217 - Added SigSpec::is_fully_ones() and Const::is_fully_ones()
218 - Added log_file_warning() and log_file_error() functions
219
220 * Formal Verification
221 - Added "write_aiger"
222 - Added "yosys-smtbmc --aig"
223 - Added "always <positive_int>" to .smtc format
224 - Added $cover cell type and support for cover properties
225 - Added $fair/$live cell type and support for liveness properties
226 - Added smtbmc support for memory vcd dumping
227 - Added "chformal" command
228 - Added "write_smt2 -stbv" and "write_smt2 -stdt"
229 - Fix equiv_simple, old behavior now available with "equiv_simple -short"
230 - Change to Yices2 as default SMT solver (it is GPL now)
231 - Added "yosys-smtbmc --presat" (now default in SymbiYosys)
232 - Added "yosys-smtbmc --smtc-init --smtc-top --noinit"
233 - Added a brand new "write_btor" command for BTOR2
234 - Added clk2fflogic memory support and other improvements
235 - Added "async memory write" support to write_smt2
236 - Simulate clock toggling in yosys-smtbmc VCD output
237 - Added $allseq/$allconst cells for EA-solving
238 - Make -nordff the default in "prep"
239 - Added (* gclk *) attribute
240 - Added "async2sync" pass for single-clock designs with async resets
241
242 * Verific support
243 - Many improvements in Verific front-end
244 - Added proper handling of concurent SVA properties
245 - Map "const" and "rand const" to $anyseq/$anyconst
246 - Added "verific -import -flatten" and "verific -import -extnets"
247 - Added "verific -vlog-incdir -vlog-define -vlog-libdir"
248 - Remove PSL support (because PSL has been removed in upstream Verific)
249 - Improve integration with "hierarchy" command design elaboration
250 - Added YOSYS_NOVERIFIC for running non-verific test cases with verific bin
251 - Added simpilied "read" command that automatically uses verific if available
252 - Added "verific -set-<severity> <msg_id>.."
253 - Added "verific -work <libname>"
254
255 * New back-ends
256 - Added initial Coolrunner-II support
257 - Added initial eASIC support
258 - Added initial ECP5 support
259
260 * GreenPAK Support
261 - Added support for GP_DLATCH, GP_SPI, GP_DCMx, GP_COUNTx, etc.
262
263 * iCE40 Support
264 - Add "synth_ice40 -vpr"
265 - Add "synth_ice40 -nodffe"
266 - Add "synth_ice40 -json"
267 - Add Support for UltraPlus cells
268
269 * MAX10 and Cyclone IV Support
270 - Added initial version of metacommand "synth_intel".
271 - Improved write_verilog command to produce VQM netlist for Quartus Prime.
272 - Added support for MAX10 FPGA family synthesis.
273 - Added support for Cyclone IV family synthesis.
274 - Added example of implementation for DE2i-150 board.
275 - Added example of implementation for MAX10 development kit.
276 - Added LFSR example from Asic World.
277 - Added "dffinit -highlow" for mapping to Intel primitives
278
279
280 Yosys 0.6 .. Yosys 0.7
281 ----------------------
282
283 * Various
284 - Added "yosys -D" feature
285 - Added support for installed plugins in $(DATDIR)/plugins/
286 - Renamed opt_const to opt_expr
287 - Renamed opt_share to opt_merge
288 - Added "prep -flatten" and "synth -flatten"
289 - Added "prep -auto-top" and "synth -auto-top"
290 - Using "mfs" and "lutpack" in ABC lut mapping
291 - Support for abstract modules in chparam
292 - Cleanup abstract modules at end of "hierarchy -top"
293 - Added tristate buffer support to iopadmap
294 - Added opt_expr support for div/mod by power-of-two
295 - Added "select -assert-min <N> -assert-max <N>"
296 - Added "attrmvcp" pass
297 - Added "attrmap" command
298 - Added "tee +INT -INT"
299 - Added "zinit" pass
300 - Added "setparam -type"
301 - Added "shregmap" pass
302 - Added "setundef -init"
303 - Added "nlutmap -assert"
304 - Added $sop cell type and "abc -sop -I <num> -P <num>"
305 - Added "dc2" to default ABC scripts
306 - Added "deminout"
307 - Added "insbuf" command
308 - Added "prep -nomem"
309 - Added "opt_rmdff -keepdc"
310 - Added "prep -nokeepdc"
311 - Added initial version of "synth_gowin"
312 - Added "fsm_expand -full"
313 - Added support for fsm_encoding="user"
314 - Many improvements in GreenPAK4 support
315 - Added black box modules for all Xilinx 7-series lib cells
316 - Added synth_ice40 support for latches via logic loops
317 - Fixed ice40_opt lut unmapping, added "ice40_opt -unlut"
318
319 * Build System
320 - Added ABCEXTERNAL and ABCURL make variables
321 - Added BINDIR, LIBDIR, and DATDIR make variables
322 - Added PKG_CONFIG make variable
323 - Added SEED make variable (for "make test")
324 - Added YOSYS_VER_STR make variable
325 - Updated min GCC requirement to GCC 4.8
326 - Updated required Bison version to Bison 3.x
327
328 * Internal APIs
329 - Added ast.h to exported headers
330 - Added ScriptPass helper class for script-like passes
331 - Added CellEdgesDatabase API
332
333 * Front-ends and Back-ends
334 - Added filename glob support to all front-ends
335 - Added avail (black-box) module params to ilang format
336 - Added $display %m support
337 - Added support for $stop Verilog system task
338 - Added support for SystemVerilog packages
339 - Fixed procedural assignments to non-unique lvalues, e.g. {y,y} = {a,b}
340 - Added support for "active high" and "active low" latches in read_blif and write_blif
341 - Use init value "2" for all uninitialized FFs in BLIF back-end
342 - Added "read_blif -sop"
343 - Added "write_blif -noalias"
344 - Added various write_blif options for VTR support
345 - write_json: also write module attributes.
346 - Added "write_verilog -nodec -nostr -defparam"
347 - Added "read_verilog -norestrict -assume-asserts"
348 - Added support for bus interfaces to "read_liberty -lib"
349 - Added liberty parser support for types within cell decls
350 - Added "write_verilog -renameprefix -v"
351 - Added "write_edif -nogndvcc"
352
353 * Formal Verification
354 - Support for hierarchical designs in smt2 back-end
355 - Yosys-smtbmc: Support for hierarchical VCD dumping
356 - Added $initstate cell type and vlog function
357 - Added $anyconst and $anyseq cell types and vlog functions
358 - Added printing of code loc of failed asserts to yosys-smtbmc
359 - Added memory_memx pass, "memory -memx", and "prep -memx"
360 - Added "proc_mux -ifx"
361 - Added "yosys-smtbmc -g"
362 - Deprecated "write_smt2 -regs" (by default on now)
363 - Made "write_smt2 -bv -mem" default, added "write_smt2 -nobv -nomem"
364 - Added support for memories to smtio.py
365 - Added "yosys-smtbmc --dump-vlogtb"
366 - Added "yosys-smtbmc --smtc --dump-smtc"
367 - Added "yosys-smtbmc --dump-all"
368 - Added assertpmux command
369 - Added "yosys-smtbmc --unroll"
370 - Added $past, $stable, $rose, $fell SVA functions
371 - Added "yosys-smtbmc --noinfo and --dummy"
372 - Added "yosys-smtbmc --noincr"
373 - Added "yosys-smtbmc --cex <filename>"
374 - Added $ff and $_FF_ cell types
375 - Added $global_clock verilog syntax support for creating $ff cells
376 - Added clk2fflogic
377
378
379 Yosys 0.5 .. Yosys 0.6
380 ----------------------
381
382 * Various
383 - Added Contributor Covenant Code of Conduct
384 - Various improvements in dict<> and pool<>
385 - Added hashlib::mfp and refactored SigMap
386 - Improved support for reals as module parameters
387 - Various improvements in SMT2 back-end
388 - Added "keep_hierarchy" attribute
389 - Verilog front-end: define `BLACKBOX in -lib mode
390 - Added API for converting internal cells to AIGs
391 - Added ENABLE_LIBYOSYS Makefile option
392 - Removed "techmap -share_map" (use "-map +/filename" instead)
393 - Switched all Python scripts to Python 3
394 - Added support for $display()/$write() and $finish() to Verilog front-end
395 - Added "yosys-smtbmc" formal verification flow
396 - Added options for clang sanitizers to Makefile
397
398 * New commands and options
399 - Added "scc -expect <N> -nofeedback"
400 - Added "proc_dlatch"
401 - Added "check"
402 - Added "select %xe %cie %coe %M %C %R"
403 - Added "sat -dump_json" (WaveJSON format)
404 - Added "sat -tempinduct-baseonly -tempinduct-inductonly"
405 - Added "sat -stepsize" and "sat -tempinduct-step"
406 - Added "sat -show-regs -show-public -show-all"
407 - Added "write_json" (Native Yosys JSON format)
408 - Added "write_blif -attr"
409 - Added "dffinit"
410 - Added "chparam"
411 - Added "muxcover"
412 - Added "pmuxtree"
413 - Added memory_bram "make_outreg" feature
414 - Added "splice -wires"
415 - Added "dff2dffe -direct-match"
416 - Added simplemap $lut support
417 - Added "read_blif"
418 - Added "opt_share -share_all"
419 - Added "aigmap"
420 - Added "write_smt2 -mem -regs -wires"
421 - Added "memory -nordff"
422 - Added "write_smv"
423 - Added "synth -nordff -noalumacc"
424 - Added "rename -top new_name"
425 - Added "opt_const -clkinv"
426 - Added "synth -nofsm"
427 - Added "miter -assert"
428 - Added "read_verilog -noautowire"
429 - Added "read_verilog -nodpi"
430 - Added "tribuf"
431 - Added "lut2mux"
432 - Added "nlutmap"
433 - Added "qwp"
434 - Added "test_cell -noeval"
435 - Added "edgetypes"
436 - Added "equiv_struct"
437 - Added "equiv_purge"
438 - Added "equiv_mark"
439 - Added "equiv_add -try -cell"
440 - Added "singleton"
441 - Added "abc -g -luts"
442 - Added "torder"
443 - Added "write_blif -cname"
444 - Added "submod -copy"
445 - Added "dffsr2dff"
446 - Added "stat -liberty"
447
448 * Synthesis metacommands
449 - Various improvements in synth_xilinx
450 - Added synth_ice40 and synth_greenpak4
451 - Added "prep" metacommand for "synthesis lite"
452
453 * Cell library changes
454 - Added cell types to "help" system
455 - Added $meminit cell type
456 - Added $assume cell type
457 - Added $_MUX4_, $_MUX8_, and $_MUX16_ cells
458 - Added $tribuf and $_TBUF_ cell types
459 - Added read-enable to memory model
460
461 * YosysJS
462 - Various improvements in emscripten build
463 - Added alternative webworker-based JS API
464 - Added a few example applications
465
466
467 Yosys 0.4 .. Yosys 0.5
468 ----------------------
469
470 * API changes
471 - Added log_warning()
472 - Added eval_select_args() and eval_select_op()
473 - Added cell->known(), cell->input(portname), cell->output(portname)
474 - Skip blackbox modules in design->selected_modules()
475 - Replaced std::map<> and std::set<> with dict<> and pool<>
476 - New SigSpec::extend() is what used to be SigSpec::extend_u0()
477 - Added YS_OVERRIDE, YS_FINAL, YS_ATTRIBUTE, YS_NORETURN
478
479 * Cell library changes
480 - Added flip-flops with enable ($dffe etc.)
481 - Added $equiv cells for equivalence checking framework
482
483 * Various
484 - Updated ABC to hg rev 61ad5f908c03
485 - Added clock domain partitioning to ABC pass
486 - Improved plugin building (see "yosys-config --build")
487 - Added ENABLE_NDEBUG Makefile flag for high-performance builds
488 - Added "yosys -d", "yosys -L" and other driver improvements
489 - Added support for multi-bit (array) cell ports to "write_edif"
490 - Now printing most output to stdout, not stderr
491 - Added "onehot" attribute (set by "fsm_map")
492 - Various performance improvements
493 - Vastly improved Xilinx flow
494 - Added "make unsintall"
495
496 * Equivalence checking
497 - Added equivalence checking commands:
498 equiv_make equiv_simple equiv_status
499 equiv_induct equiv_miter
500 equiv_add equiv_remove
501
502 * Block RAM support:
503 - Added "memory_bram" command
504 - Added BRAM support to Xilinx flow
505
506 * Other New Commands and Options
507 - Added "dff2dffe"
508 - Added "fsm -encfile"
509 - Added "dfflibmap -prepare"
510 - Added "write_blid -unbuf -undef -blackbox"
511 - Added "write_smt2" for writing SMT-LIBv2 files
512 - Added "test_cell -w -muxdiv"
513 - Added "select -read"
514
515
516 Yosys 0.3.0 .. Yosys 0.4
517 ------------------------
518
519 * Platform Support
520 - Added support for mxe-based cross-builds for win32
521 - Added sourcecode-export as VisualStudio project
522 - Added experimental EMCC (JavaScript) support
523
524 * Verilog Frontend
525 - Added -sv option for SystemVerilog (and automatic *.sv file support)
526 - Added support for real-valued constants and constant expressions
527 - Added support for non-standard "via_celltype" attribute on task/func
528 - Added support for non-standard "module mod_name(...);" syntax
529 - Added support for non-standard """ macro bodies
530 - Added support for array with more than one dimension
531 - Added support for $readmemh and $readmemb
532 - Added support for DPI functions
533
534 * Changes in internal cell library
535 - Added $shift and $shiftx cell types
536 - Added $alu, $lcu, $fa and $macc cell types
537 - Removed $bu0 and $safe_pmux cell types
538 - $mem/$memwr WR_EN input is now a per-data-bit enable signal
539 - Added $_NAND_ $_NOR_ $_XNOR_ $_AOI3_ $_OAI3_ $_AOI4_ $_OAI4_
540 - Renamed ports of $lut cells (from I->O to A->Y)
541 - Renamed $_INV_ to $_NOT_
542
543 * Changes for simple synthesis flows
544 - There is now a "synth" command with a recommended default script
545 - Many improvements in synthesis of arithmetic functions to gates
546 - Multipliers and adders with many operands are using carry-save adder trees
547 - Remaining adders are now implemented using Brent-Kung carry look-ahead adders
548 - Various new high-level optimizations on RTL netlist
549 - Various improvements in FSM optimization
550 - Updated ABC to hg 5b5af75f1dda (from 2014-11-07)
551
552 * Changes in internal APIs and RTLIL
553 - Added log_id() and log_cell() helper functions
554 - Added function-like cell creation helpers
555 - Added GetSize() function (like .size() but with int)
556 - Major refactoring of RTLIL::Module and related classes
557 - Major refactoring of RTLIL::SigSpec and related classes
558 - Now RTLIL::IdString is essentially an int
559 - Added macros for code coverage counters
560 - Added some Makefile magic for pretty make logs
561 - Added "kernel/yosys.h" with all the core definitions
562 - Changed a lot of code from FILE* to c++ streams
563 - Added RTLIL::Monitor API and "trace" command
564 - Added "Yosys" C++ namespace
565
566 * Changes relevant to SAT solving
567 - Added ezSAT::keep_cnf() and ezSAT::non_incremental()
568 - Added native ezSAT support for vector shift ops
569 - Updated MiniSAT to git 37dc6c67e2 (from 2013-09-25)
570
571 * New commands (or large improvements to commands)
572 - Added "synth" command with default script
573 - Added "share" (finally some real resource sharing)
574 - Added "memory_share" (reduce number of ports on memories)
575 - Added "wreduce" and "alumacc" commands
576 - Added "opt -keepdc -fine -full -fast"
577 - Added some "test_*" commands
578
579 * Various other changes
580 - Added %D and %c select operators
581 - Added support for labels in yosys scripts
582 - Added support for here-documents in yosys scripts
583 - Support "+/" prefix for files from proc_share_dir
584 - Added "autoidx" statement to ilang language
585 - Switched from "yosys-svgviewer" to "xdot"
586 - Renamed "stdcells.v" to "techmap.v"
587 - Various bug fixes and small improvements
588 - Improved welcome and bye messages
589
590
591 Yosys 0.2.0 .. Yosys 0.3.0
592 --------------------------
593
594 * Driver program and overall behavior:
595 - Added "design -push" and "design -pop"
596 - Added "tee" command for redirecting log output
597
598 * Changes in the internal cell library:
599 - Added $dlatchsr and $_DLATCHSR_???_ cell types
600
601 * Improvements in Verilog frontend:
602 - Improved support for const functions (case, always, repeat)
603 - The generate..endgenerate keywords are now optional
604 - Added support for arrays of module instances
605 - Added support for "`default_nettype" directive
606 - Added support for "`line" directive
607
608 * Other front- and back-ends:
609 - Various changes to "write_blif" options
610 - Various improvements in EDIF backend
611 - Added "vhdl2verilog" pseudo-front-end
612 - Added "verific" pseudo-front-end
613
614 * Improvements in technology mapping:
615 - Added support for recursive techmap
616 - Added CONSTMSK and CONSTVAL features to techmap
617 - Added _TECHMAP_CONNMAP_*_ feature to techmap
618 - Added _TECHMAP_REPLACE_ feature to techmap
619 - Added "connwrappers" command for wrap-extract-unwrap method
620 - Added "extract -map %<design_name>" feature
621 - Added "extract -ignore_param ..." and "extract -ignore_parameters"
622 - Added "techmap -max_iter" option
623
624 * Improvements to "eval" and "sat" framework:
625 - Now include a copy of Minisat (with build fixes applied)
626 - Switched to Minisat::SimpSolver as SAT back-end
627 - Added "sat -dump_vcd" feature
628 - Added "sat -dump_cnf" feature
629 - Added "sat -initsteps <N>" feature
630 - Added "freduce -stop <N>" feature
631 - Added "freduce -dump <prefix>" feature
632
633 * Integration with ABC:
634 - Updated ABC rev to 7600ffb9340c
635
636 * Improvements in the internal APIs:
637 - Added RTLIL::Module::add... helper methods
638 - Various build fixes for OSX (Darwin) and OpenBSD
639
640
641 Yosys 0.1.0 .. Yosys 0.2.0
642 --------------------------
643
644 * Changes to the driver program:
645 - Added "yosys -h" and "yosys -H"
646 - Added support for backslash line continuation in scripts
647 - Added support for #-comments in same line as command
648 - Added "echo" and "log" commands
649
650 * Improvements in Verilog frontend:
651 - Added support for local registers in named blocks
652 - Added support for "case" in "generate" blocks
653 - Added support for $clog2 system function
654 - Added support for basic SystemVerilog assert statements
655 - Added preprocessor support for macro arguments
656 - Added preprocessor support for `elsif statement
657 - Added "verilog_defaults" command
658 - Added read_verilog -icells option
659 - Added support for constant sizes from parameters
660 - Added "read_verilog -setattr"
661 - Added support for function returning 'integer'
662 - Added limited support for function calls in parameter values
663 - Added "read_verilog -defer" to suppress evaluation of modules with default parameters
664
665 * Other front- and back-ends:
666 - Added BTOR backend
667 - Added Liberty frontend
668
669 * Improvements in technology mapping:
670 - The "dfflibmap" command now strongly prefers solutions with
671 no inverters in clock paths
672 - The "dfflibmap" command now prefers cells with smaller area
673 - Added support for multiple -map options to techmap
674 - Added "dfflibmap" support for //-comments in liberty files
675 - Added "memory_unpack" command to revert "memory_collect"
676 - Added standard techmap rule "techmap -share_map pmux2mux.v"
677 - Added "iopadmap -bits"
678 - Added "setundef" command
679 - Added "hilomap" command
680
681 * Changes in the internal cell library:
682 - Major rewrite of simlib.v for better compatibility with other tools
683 - Added PRIORITY parameter to $memwr cells
684 - Added TRANSPARENT parameter to $memrd cells
685 - Added RD_TRANSPARENT parameter to $mem cells
686 - Added $bu0 cell (always 0-extend, even undef MSB)
687 - Added $assert cell type
688 - Added $slice and $concat cell types
689
690 * Integration with ABC:
691 - Updated ABC to hg rev 2058c8ccea68
692 - Tighter integration of ABC build with Yosys build. The make
693 targets 'make abc' and 'make install-abc' are now obsolete.
694 - Added support for passing FFs from one clock domain through ABC
695 - Now always use BLIF as exchange format with ABC
696 - Added support for "abc -script +<command_sequence>"
697 - Improved standard ABC recipe
698 - Added support for "keep" attribute to abc command
699 - Added "abc -dff / -clk / -keepff" options
700
701 * Improvements to "eval" and "sat" framework:
702 - Added support for "0" and "~0" in right-hand side -set expressions
703 - Added "eval -set-undef" and "eval -table"
704 - Added "sat -set-init" and "sat -set-init-*" for sequential problems
705 - Added undef support to SAT solver, incl. various new "sat" options
706 - Added correct support for === and !== for "eval" and "sat"
707 - Added "sat -tempinduct" (default -seq is now non-induction sequential)
708 - Added "sat -prove-asserts"
709 - Complete rewrite of the 'freduce' command
710 - Added "miter" command
711 - Added "sat -show-inputs" and "sat -show-outputs"
712 - Added "sat -ignore_unknown_cells" (now produce an error by default)
713 - Added "sat -falsify"
714 - Now "sat -verify" and "sat -falsify" can also be used without "-prove"
715 - Added "expose" command
716 - Added support for @<sel_name> to sat and eval signal expressions
717
718 * Changes in the 'make test' framework and auxiliary test tools:
719 - Added autotest.sh -p and -f options
720 - Replaced autotest.sh ISIM support with XSIM support
721 - Added test cases for SAT framework
722
723 * Added "abbreviated IDs":
724 - Now $<something>$foo can be abbreviated as $foo.
725 - Usually this last part is a unique id (from RTLIL::autoidx)
726 - This abbreviated IDs are now also used in "show" output
727
728 * Other changes to selection framework:
729 - Now */ is optional in */<mode>:<arg> expressions
730 - Added "select -assert-none" and "select -assert-any"
731 - Added support for matching modules by attribute (A:<expr>)
732 - Added "select -none"
733 - Added support for r:<expr> pattern for matching cell parameters
734 - Added support for !=, <, <=, >=, > for attribute and parameter matching
735 - Added support for %s for selecting sub-modules
736 - Added support for %m for expanding selections to whole modules
737 - Added support for i:*, o:* and x:* pattern for selecting module ports
738 - Added support for s:<expr> pattern for matching wire width
739 - Added support for %a operation to select wire aliases
740
741 * Various other changes to commands and options:
742 - The "ls" command now supports wildcards
743 - Added "show -pause" and "show -format dot"
744 - Added "show -color" support for cells
745 - Added "show -label" and "show -notitle"
746 - Added "dump -m" and "dump -n"
747 - Added "history" command
748 - Added "rename -hide"
749 - Added "connect" command
750 - Added "splitnets -driver"
751 - Added "opt_const -mux_undef"
752 - Added "opt_const -mux_bool"
753 - Added "opt_const -undriven"
754 - Added "opt -mux_undef -mux_bool -undriven -purge"
755 - Added "hierarchy -libdir"
756 - Added "hierarchy -purge_lib" (by default now do not remove lib cells)
757 - Added "delete" command
758 - Added "dump -append"
759 - Added "setattr" and "setparam" commands
760 - Added "design -stash/-copy-from/-copy-to"
761 - Added "copy" command
762 - Added "splice" command
763