Update CHANGELOG with "synth -abc9"
[yosys.git] / CHANGELOG
1
2 List of major changes and improvements between releases
3 =======================================================
4
5
6 Yosys 0.8 .. Yosys 0.8-dev
7 --------------------------
8
9 * Various
10 - Added $changed support to read_verilog
11 - Added "write_edif -attrprop"
12 - Added "ice40_unlut" pass
13 - Added "opt_lut" pass
14 - Added "synth_ice40 -relut"
15 - Added "synth_ice40 -noabc"
16 - Added "gate2lut.v" techmap rule
17 - Added "rename -src"
18 - Added "equiv_opt" pass
19 - Added "read_aiger" frontend
20 - Added "abc9" pass for timing-aware techmapping (experimental, FPGA only, no FFs)
21 - Added "synth_xilinx -abc9" (experimental)
22 - Added "synth_ice40 -abc9" (experimental)
23 - Added "synth -abc9" (experimental)
24 - "synth_xilinx" to now infer hard shift registers, using new "shregmap -tech xilinx"
25
26
27 Yosys 0.7 .. Yosys 0.8
28 ----------------------
29
30 * Various
31 - Many bugfixes and small improvements
32 - Strip debug symbols from installed binary
33 - Replace -ignore_redef with -[no]overwrite in front-ends
34 - Added write_verilog hex dump support, add -nohex option
35 - Added "write_verilog -decimal"
36 - Added "scc -set_attr"
37 - Added "verilog_defines" command
38 - Remeber defines from one read_verilog to next
39 - Added support for hierarchical defparam
40 - Added FIRRTL back-end
41 - Improved ABC default scripts
42 - Added "design -reset-vlog"
43 - Added "yosys -W regex", "yosys -w regex", and "yosys -e regex"
44 - Added Verilog $rtoi and $itor support
45 - Added "check -initdrv"
46 - Added "read_blif -wideports"
47 - Added support for systemVerilog "++" and "--" operators
48 - Added support for SystemVerilog unique, unique0, and priority case
49 - Added "write_edif" options for edif "flavors"
50 - Added support for resetall compiler directive
51 - Added simple C beck-end (bitwise combinatorical only atm)
52 - Added $_ANDNOT_ and $_ORNOT_ cell types
53 - Added cell library aliases to "abc -g"
54 - Added "setundef -anyseq"
55 - Added "chtype" command
56 - Added "design -import"
57 - Added "write_table" command
58 - Added "read_json" command
59 - Added "sim" command
60 - Added "extract_fa" and "extract_reduce" commands
61 - Added "extract_counter" command
62 - Added "opt_demorgan" command
63 - Added support for $size and $bits SystemVerilog functions
64 - Added "blackbox" command
65 - Added "ltp" command
66 - Added support for editline as replacement for readline
67 - Added warnings for driver-driver conflicts between FFs (and other cells) and constants
68 - Added "yosys -E" for creating Makefile dependencies files
69 - Added "synth -noshare"
70 - Added "memory_nordff"
71 - Added "setundef -undef -expose -anyconst"
72 - Added "expose -input"
73 - Added specify/specparam parser support (simply ignore them)
74 - Added "write_blif -inames -iattr"
75 - Added "hierarchy -simcheck"
76 - Added an option to statically link abc into yosys
77 - Added protobuf back-end
78 - Added BLIF parsing support for .conn and .cname
79 - Added read_verilog error checking for reg/wire/logic misuse
80 - Added "make coverage" and ENABLE_GCOV build option
81
82 * Changes in Yosys APIs
83 - Added ConstEval defaultval feature
84 - Added {get,set}_src_attribute() methods on RTLIL::AttrObject
85 - Added SigSpec::is_fully_ones() and Const::is_fully_ones()
86 - Added log_file_warning() and log_file_error() functions
87
88 * Formal Verification
89 - Added "write_aiger"
90 - Added "yosys-smtbmc --aig"
91 - Added "always <positive_int>" to .smtc format
92 - Added $cover cell type and support for cover properties
93 - Added $fair/$live cell type and support for liveness properties
94 - Added smtbmc support for memory vcd dumping
95 - Added "chformal" command
96 - Added "write_smt2 -stbv" and "write_smt2 -stdt"
97 - Fix equiv_simple, old behavior now available with "equiv_simple -short"
98 - Change to Yices2 as default SMT solver (it is GPL now)
99 - Added "yosys-smtbmc --presat" (now default in SymbiYosys)
100 - Added "yosys-smtbmc --smtc-init --smtc-top --noinit"
101 - Added a brand new "write_btor" command for BTOR2
102 - Added clk2fflogic memory support and other improvements
103 - Added "async memory write" support to write_smt2
104 - Simulate clock toggling in yosys-smtbmc VCD output
105 - Added $allseq/$allconst cells for EA-solving
106 - Make -nordff the default in "prep"
107 - Added (* gclk *) attribute
108 - Added "async2sync" pass for single-clock designs with async resets
109
110 * Verific support
111 - Many improvements in Verific front-end
112 - Added proper handling of concurent SVA properties
113 - Map "const" and "rand const" to $anyseq/$anyconst
114 - Added "verific -import -flatten" and "verific -import -extnets"
115 - Added "verific -vlog-incdir -vlog-define -vlog-libdir"
116 - Remove PSL support (because PSL has been removed in upstream Verific)
117 - Improve integration with "hierarchy" command design elaboration
118 - Added YOSYS_NOVERIFIC for running non-verific test cases with verific bin
119 - Added simpilied "read" command that automatically uses verific if available
120 - Added "verific -set-<severity> <msg_id>.."
121 - Added "verific -work <libname>"
122
123 * New back-ends
124 - Added initial Coolrunner-II support
125 - Added initial eASIC support
126 - Added initial ECP5 support
127
128 * GreenPAK Support
129 - Added support for GP_DLATCH, GP_SPI, GP_DCMx, GP_COUNTx, etc.
130
131 * iCE40 Support
132 - Add "synth_ice40 -vpr"
133 - Add "synth_ice40 -nodffe"
134 - Add "synth_ice40 -json"
135 - Add Support for UltraPlus cells
136
137 * MAX10 and Cyclone IV Support
138 - Added initial version of metacommand "synth_intel".
139 - Improved write_verilog command to produce VQM netlist for Quartus Prime.
140 - Added support for MAX10 FPGA family synthesis.
141 - Added support for Cyclone IV family synthesis.
142 - Added example of implementation for DE2i-150 board.
143 - Added example of implementation for MAX10 development kit.
144 - Added LFSR example from Asic World.
145 - Added "dffinit -highlow" for mapping to Intel primitives
146
147
148 Yosys 0.6 .. Yosys 0.7
149 ----------------------
150
151 * Various
152 - Added "yosys -D" feature
153 - Added support for installed plugins in $(DATDIR)/plugins/
154 - Renamed opt_const to opt_expr
155 - Renamed opt_share to opt_merge
156 - Added "prep -flatten" and "synth -flatten"
157 - Added "prep -auto-top" and "synth -auto-top"
158 - Using "mfs" and "lutpack" in ABC lut mapping
159 - Support for abstract modules in chparam
160 - Cleanup abstract modules at end of "hierarchy -top"
161 - Added tristate buffer support to iopadmap
162 - Added opt_expr support for div/mod by power-of-two
163 - Added "select -assert-min <N> -assert-max <N>"
164 - Added "attrmvcp" pass
165 - Added "attrmap" command
166 - Added "tee +INT -INT"
167 - Added "zinit" pass
168 - Added "setparam -type"
169 - Added "shregmap" pass
170 - Added "setundef -init"
171 - Added "nlutmap -assert"
172 - Added $sop cell type and "abc -sop -I <num> -P <num>"
173 - Added "dc2" to default ABC scripts
174 - Added "deminout"
175 - Added "insbuf" command
176 - Added "prep -nomem"
177 - Added "opt_rmdff -keepdc"
178 - Added "prep -nokeepdc"
179 - Added initial version of "synth_gowin"
180 - Added "fsm_expand -full"
181 - Added support for fsm_encoding="user"
182 - Many improvements in GreenPAK4 support
183 - Added black box modules for all Xilinx 7-series lib cells
184 - Added synth_ice40 support for latches via logic loops
185 - Fixed ice40_opt lut unmapping, added "ice40_opt -unlut"
186
187 * Build System
188 - Added ABCEXTERNAL and ABCURL make variables
189 - Added BINDIR, LIBDIR, and DATDIR make variables
190 - Added PKG_CONFIG make variable
191 - Added SEED make variable (for "make test")
192 - Added YOSYS_VER_STR make variable
193 - Updated min GCC requirement to GCC 4.8
194 - Updated required Bison version to Bison 3.x
195
196 * Internal APIs
197 - Added ast.h to exported headers
198 - Added ScriptPass helper class for script-like passes
199 - Added CellEdgesDatabase API
200
201 * Front-ends and Back-ends
202 - Added filename glob support to all front-ends
203 - Added avail (black-box) module params to ilang format
204 - Added $display %m support
205 - Added support for $stop Verilog system task
206 - Added support for SystemVerilog packages
207 - Fixed procedural assignments to non-unique lvalues, e.g. {y,y} = {a,b}
208 - Added support for "active high" and "active low" latches in read_blif and write_blif
209 - Use init value "2" for all uninitialized FFs in BLIF back-end
210 - Added "read_blif -sop"
211 - Added "write_blif -noalias"
212 - Added various write_blif options for VTR support
213 - write_json: also write module attributes.
214 - Added "write_verilog -nodec -nostr -defparam"
215 - Added "read_verilog -norestrict -assume-asserts"
216 - Added support for bus interfaces to "read_liberty -lib"
217 - Added liberty parser support for types within cell decls
218 - Added "write_verilog -renameprefix -v"
219 - Added "write_edif -nogndvcc"
220
221 * Formal Verification
222 - Support for hierarchical designs in smt2 back-end
223 - Yosys-smtbmc: Support for hierarchical VCD dumping
224 - Added $initstate cell type and vlog function
225 - Added $anyconst and $anyseq cell types and vlog functions
226 - Added printing of code loc of failed asserts to yosys-smtbmc
227 - Added memory_memx pass, "memory -memx", and "prep -memx"
228 - Added "proc_mux -ifx"
229 - Added "yosys-smtbmc -g"
230 - Deprecated "write_smt2 -regs" (by default on now)
231 - Made "write_smt2 -bv -mem" default, added "write_smt2 -nobv -nomem"
232 - Added support for memories to smtio.py
233 - Added "yosys-smtbmc --dump-vlogtb"
234 - Added "yosys-smtbmc --smtc --dump-smtc"
235 - Added "yosys-smtbmc --dump-all"
236 - Added assertpmux command
237 - Added "yosys-smtbmc --unroll"
238 - Added $past, $stable, $rose, $fell SVA functions
239 - Added "yosys-smtbmc --noinfo and --dummy"
240 - Added "yosys-smtbmc --noincr"
241 - Added "yosys-smtbmc --cex <filename>"
242 - Added $ff and $_FF_ cell types
243 - Added $global_clock verilog syntax support for creating $ff cells
244 - Added clk2fflogic
245
246
247 Yosys 0.5 .. Yosys 0.6
248 ----------------------
249
250 * Various
251 - Added Contributor Covenant Code of Conduct
252 - Various improvements in dict<> and pool<>
253 - Added hashlib::mfp and refactored SigMap
254 - Improved support for reals as module parameters
255 - Various improvements in SMT2 back-end
256 - Added "keep_hierarchy" attribute
257 - Verilog front-end: define `BLACKBOX in -lib mode
258 - Added API for converting internal cells to AIGs
259 - Added ENABLE_LIBYOSYS Makefile option
260 - Removed "techmap -share_map" (use "-map +/filename" instead)
261 - Switched all Python scripts to Python 3
262 - Added support for $display()/$write() and $finish() to Verilog front-end
263 - Added "yosys-smtbmc" formal verification flow
264 - Added options for clang sanitizers to Makefile
265
266 * New commands and options
267 - Added "scc -expect <N> -nofeedback"
268 - Added "proc_dlatch"
269 - Added "check"
270 - Added "select %xe %cie %coe %M %C %R"
271 - Added "sat -dump_json" (WaveJSON format)
272 - Added "sat -tempinduct-baseonly -tempinduct-inductonly"
273 - Added "sat -stepsize" and "sat -tempinduct-step"
274 - Added "sat -show-regs -show-public -show-all"
275 - Added "write_json" (Native Yosys JSON format)
276 - Added "write_blif -attr"
277 - Added "dffinit"
278 - Added "chparam"
279 - Added "muxcover"
280 - Added "pmuxtree"
281 - Added memory_bram "make_outreg" feature
282 - Added "splice -wires"
283 - Added "dff2dffe -direct-match"
284 - Added simplemap $lut support
285 - Added "read_blif"
286 - Added "opt_share -share_all"
287 - Added "aigmap"
288 - Added "write_smt2 -mem -regs -wires"
289 - Added "memory -nordff"
290 - Added "write_smv"
291 - Added "synth -nordff -noalumacc"
292 - Added "rename -top new_name"
293 - Added "opt_const -clkinv"
294 - Added "synth -nofsm"
295 - Added "miter -assert"
296 - Added "read_verilog -noautowire"
297 - Added "read_verilog -nodpi"
298 - Added "tribuf"
299 - Added "lut2mux"
300 - Added "nlutmap"
301 - Added "qwp"
302 - Added "test_cell -noeval"
303 - Added "edgetypes"
304 - Added "equiv_struct"
305 - Added "equiv_purge"
306 - Added "equiv_mark"
307 - Added "equiv_add -try -cell"
308 - Added "singleton"
309 - Added "abc -g -luts"
310 - Added "torder"
311 - Added "write_blif -cname"
312 - Added "submod -copy"
313 - Added "dffsr2dff"
314 - Added "stat -liberty"
315
316 * Synthesis metacommands
317 - Various improvements in synth_xilinx
318 - Added synth_ice40 and synth_greenpak4
319 - Added "prep" metacommand for "synthesis lite"
320
321 * Cell library changes
322 - Added cell types to "help" system
323 - Added $meminit cell type
324 - Added $assume cell type
325 - Added $_MUX4_, $_MUX8_, and $_MUX16_ cells
326 - Added $tribuf and $_TBUF_ cell types
327 - Added read-enable to memory model
328
329 * YosysJS
330 - Various improvements in emscripten build
331 - Added alternative webworker-based JS API
332 - Added a few example applications
333
334
335 Yosys 0.4 .. Yosys 0.5
336 ----------------------
337
338 * API changes
339 - Added log_warning()
340 - Added eval_select_args() and eval_select_op()
341 - Added cell->known(), cell->input(portname), cell->output(portname)
342 - Skip blackbox modules in design->selected_modules()
343 - Replaced std::map<> and std::set<> with dict<> and pool<>
344 - New SigSpec::extend() is what used to be SigSpec::extend_u0()
345 - Added YS_OVERRIDE, YS_FINAL, YS_ATTRIBUTE, YS_NORETURN
346
347 * Cell library changes
348 - Added flip-flops with enable ($dffe etc.)
349 - Added $equiv cells for equivalence checking framework
350
351 * Various
352 - Updated ABC to hg rev 61ad5f908c03
353 - Added clock domain partitioning to ABC pass
354 - Improved plugin building (see "yosys-config --build")
355 - Added ENABLE_NDEBUG Makefile flag for high-performance builds
356 - Added "yosys -d", "yosys -L" and other driver improvements
357 - Added support for multi-bit (array) cell ports to "write_edif"
358 - Now printing most output to stdout, not stderr
359 - Added "onehot" attribute (set by "fsm_map")
360 - Various performance improvements
361 - Vastly improved Xilinx flow
362 - Added "make unsintall"
363
364 * Equivalence checking
365 - Added equivalence checking commands:
366 equiv_make equiv_simple equiv_status
367 equiv_induct equiv_miter
368 equiv_add equiv_remove
369
370 * Block RAM support:
371 - Added "memory_bram" command
372 - Added BRAM support to Xilinx flow
373
374 * Other New Commands and Options
375 - Added "dff2dffe"
376 - Added "fsm -encfile"
377 - Added "dfflibmap -prepare"
378 - Added "write_blid -unbuf -undef -blackbox"
379 - Added "write_smt2" for writing SMT-LIBv2 files
380 - Added "test_cell -w -muxdiv"
381 - Added "select -read"
382
383
384 Yosys 0.3.0 .. Yosys 0.4
385 ------------------------
386
387 * Platform Support
388 - Added support for mxe-based cross-builds for win32
389 - Added sourcecode-export as VisualStudio project
390 - Added experimental EMCC (JavaScript) support
391
392 * Verilog Frontend
393 - Added -sv option for SystemVerilog (and automatic *.sv file support)
394 - Added support for real-valued constants and constant expressions
395 - Added support for non-standard "via_celltype" attribute on task/func
396 - Added support for non-standard "module mod_name(...);" syntax
397 - Added support for non-standard """ macro bodies
398 - Added support for array with more than one dimension
399 - Added support for $readmemh and $readmemb
400 - Added support for DPI functions
401
402 * Changes in internal cell library
403 - Added $shift and $shiftx cell types
404 - Added $alu, $lcu, $fa and $macc cell types
405 - Removed $bu0 and $safe_pmux cell types
406 - $mem/$memwr WR_EN input is now a per-data-bit enable signal
407 - Added $_NAND_ $_NOR_ $_XNOR_ $_AOI3_ $_OAI3_ $_AOI4_ $_OAI4_
408 - Renamed ports of $lut cells (from I->O to A->Y)
409 - Renamed $_INV_ to $_NOT_
410
411 * Changes for simple synthesis flows
412 - There is now a "synth" command with a recommended default script
413 - Many improvements in synthesis of arithmetic functions to gates
414 - Multipliers and adders with many operands are using carry-save adder trees
415 - Remaining adders are now implemented using Brent-Kung carry look-ahead adders
416 - Various new high-level optimizations on RTL netlist
417 - Various improvements in FSM optimization
418 - Updated ABC to hg 5b5af75f1dda (from 2014-11-07)
419
420 * Changes in internal APIs and RTLIL
421 - Added log_id() and log_cell() helper functions
422 - Added function-like cell creation helpers
423 - Added GetSize() function (like .size() but with int)
424 - Major refactoring of RTLIL::Module and related classes
425 - Major refactoring of RTLIL::SigSpec and related classes
426 - Now RTLIL::IdString is essentially an int
427 - Added macros for code coverage counters
428 - Added some Makefile magic for pretty make logs
429 - Added "kernel/yosys.h" with all the core definitions
430 - Changed a lot of code from FILE* to c++ streams
431 - Added RTLIL::Monitor API and "trace" command
432 - Added "Yosys" C++ namespace
433
434 * Changes relevant to SAT solving
435 - Added ezSAT::keep_cnf() and ezSAT::non_incremental()
436 - Added native ezSAT support for vector shift ops
437 - Updated MiniSAT to git 37dc6c67e2 (from 2013-09-25)
438
439 * New commands (or large improvements to commands)
440 - Added "synth" command with default script
441 - Added "share" (finally some real resource sharing)
442 - Added "memory_share" (reduce number of ports on memories)
443 - Added "wreduce" and "alumacc" commands
444 - Added "opt -keepdc -fine -full -fast"
445 - Added some "test_*" commands
446
447 * Various other changes
448 - Added %D and %c select operators
449 - Added support for labels in yosys scripts
450 - Added support for here-documents in yosys scripts
451 - Support "+/" prefix for files from proc_share_dir
452 - Added "autoidx" statement to ilang language
453 - Switched from "yosys-svgviewer" to "xdot"
454 - Renamed "stdcells.v" to "techmap.v"
455 - Various bug fixes and small improvements
456 - Improved welcome and bye messages
457
458
459 Yosys 0.2.0 .. Yosys 0.3.0
460 --------------------------
461
462 * Driver program and overall behavior:
463 - Added "design -push" and "design -pop"
464 - Added "tee" command for redirecting log output
465
466 * Changes in the internal cell library:
467 - Added $dlatchsr and $_DLATCHSR_???_ cell types
468
469 * Improvements in Verilog frontend:
470 - Improved support for const functions (case, always, repeat)
471 - The generate..endgenerate keywords are now optional
472 - Added support for arrays of module instances
473 - Added support for "`default_nettype" directive
474 - Added support for "`line" directive
475
476 * Other front- and back-ends:
477 - Various changes to "write_blif" options
478 - Various improvements in EDIF backend
479 - Added "vhdl2verilog" pseudo-front-end
480 - Added "verific" pseudo-front-end
481
482 * Improvements in technology mapping:
483 - Added support for recursive techmap
484 - Added CONSTMSK and CONSTVAL features to techmap
485 - Added _TECHMAP_CONNMAP_*_ feature to techmap
486 - Added _TECHMAP_REPLACE_ feature to techmap
487 - Added "connwrappers" command for wrap-extract-unwrap method
488 - Added "extract -map %<design_name>" feature
489 - Added "extract -ignore_param ..." and "extract -ignore_parameters"
490 - Added "techmap -max_iter" option
491
492 * Improvements to "eval" and "sat" framework:
493 - Now include a copy of Minisat (with build fixes applied)
494 - Switched to Minisat::SimpSolver as SAT back-end
495 - Added "sat -dump_vcd" feature
496 - Added "sat -dump_cnf" feature
497 - Added "sat -initsteps <N>" feature
498 - Added "freduce -stop <N>" feature
499 - Added "freduce -dump <prefix>" feature
500
501 * Integration with ABC:
502 - Updated ABC rev to 7600ffb9340c
503
504 * Improvements in the internal APIs:
505 - Added RTLIL::Module::add... helper methods
506 - Various build fixes for OSX (Darwin) and OpenBSD
507
508
509 Yosys 0.1.0 .. Yosys 0.2.0
510 --------------------------
511
512 * Changes to the driver program:
513 - Added "yosys -h" and "yosys -H"
514 - Added support for backslash line continuation in scripts
515 - Added support for #-comments in same line as command
516 - Added "echo" and "log" commands
517
518 * Improvements in Verilog frontend:
519 - Added support for local registers in named blocks
520 - Added support for "case" in "generate" blocks
521 - Added support for $clog2 system function
522 - Added support for basic SystemVerilog assert statements
523 - Added preprocessor support for macro arguments
524 - Added preprocessor support for `elsif statement
525 - Added "verilog_defaults" command
526 - Added read_verilog -icells option
527 - Added support for constant sizes from parameters
528 - Added "read_verilog -setattr"
529 - Added support for function returning 'integer'
530 - Added limited support for function calls in parameter values
531 - Added "read_verilog -defer" to suppress evaluation of modules with default parameters
532
533 * Other front- and back-ends:
534 - Added BTOR backend
535 - Added Liberty frontend
536
537 * Improvements in technology mapping:
538 - The "dfflibmap" command now strongly prefers solutions with
539 no inverters in clock paths
540 - The "dfflibmap" command now prefers cells with smaller area
541 - Added support for multiple -map options to techmap
542 - Added "dfflibmap" support for //-comments in liberty files
543 - Added "memory_unpack" command to revert "memory_collect"
544 - Added standard techmap rule "techmap -share_map pmux2mux.v"
545 - Added "iopadmap -bits"
546 - Added "setundef" command
547 - Added "hilomap" command
548
549 * Changes in the internal cell library:
550 - Major rewrite of simlib.v for better compatibility with other tools
551 - Added PRIORITY parameter to $memwr cells
552 - Added TRANSPARENT parameter to $memrd cells
553 - Added RD_TRANSPARENT parameter to $mem cells
554 - Added $bu0 cell (always 0-extend, even undef MSB)
555 - Added $assert cell type
556 - Added $slice and $concat cell types
557
558 * Integration with ABC:
559 - Updated ABC to hg rev 2058c8ccea68
560 - Tighter integration of ABC build with Yosys build. The make
561 targets 'make abc' and 'make install-abc' are now obsolete.
562 - Added support for passing FFs from one clock domain through ABC
563 - Now always use BLIF as exchange format with ABC
564 - Added support for "abc -script +<command_sequence>"
565 - Improved standard ABC recipe
566 - Added support for "keep" attribute to abc command
567 - Added "abc -dff / -clk / -keepff" options
568
569 * Improvements to "eval" and "sat" framework:
570 - Added support for "0" and "~0" in right-hand side -set expressions
571 - Added "eval -set-undef" and "eval -table"
572 - Added "sat -set-init" and "sat -set-init-*" for sequential problems
573 - Added undef support to SAT solver, incl. various new "sat" options
574 - Added correct support for === and !== for "eval" and "sat"
575 - Added "sat -tempinduct" (default -seq is now non-induction sequential)
576 - Added "sat -prove-asserts"
577 - Complete rewrite of the 'freduce' command
578 - Added "miter" command
579 - Added "sat -show-inputs" and "sat -show-outputs"
580 - Added "sat -ignore_unknown_cells" (now produce an error by default)
581 - Added "sat -falsify"
582 - Now "sat -verify" and "sat -falsify" can also be used without "-prove"
583 - Added "expose" command
584 - Added support for @<sel_name> to sat and eval signal expressions
585
586 * Changes in the 'make test' framework and auxiliary test tools:
587 - Added autotest.sh -p and -f options
588 - Replaced autotest.sh ISIM support with XSIM support
589 - Added test cases for SAT framework
590
591 * Added "abbreviated IDs":
592 - Now $<something>$foo can be abbreviated as $foo.
593 - Usually this last part is a unique id (from RTLIL::autoidx)
594 - This abbreviated IDs are now also used in "show" output
595
596 * Other changes to selection framework:
597 - Now */ is optional in */<mode>:<arg> expressions
598 - Added "select -assert-none" and "select -assert-any"
599 - Added support for matching modules by attribute (A:<expr>)
600 - Added "select -none"
601 - Added support for r:<expr> pattern for matching cell parameters
602 - Added support for !=, <, <=, >=, > for attribute and parameter matching
603 - Added support for %s for selecting sub-modules
604 - Added support for %m for expanding selections to whole modules
605 - Added support for i:*, o:* and x:* pattern for selecting module ports
606 - Added support for s:<expr> pattern for matching wire width
607 - Added support for %a operation to select wire aliases
608
609 * Various other changes to commands and options:
610 - The "ls" command now supports wildcards
611 - Added "show -pause" and "show -format dot"
612 - Added "show -color" support for cells
613 - Added "show -label" and "show -notitle"
614 - Added "dump -m" and "dump -n"
615 - Added "history" command
616 - Added "rename -hide"
617 - Added "connect" command
618 - Added "splitnets -driver"
619 - Added "opt_const -mux_undef"
620 - Added "opt_const -mux_bool"
621 - Added "opt_const -undriven"
622 - Added "opt -mux_undef -mux_bool -undriven -purge"
623 - Added "hierarchy -libdir"
624 - Added "hierarchy -purge_lib" (by default now do not remove lib cells)
625 - Added "delete" command
626 - Added "dump -append"
627 - Added "setattr" and "setparam" commands
628 - Added "design -stash/-copy-from/-copy-to"
629 - Added "copy" command
630 - Added "splice" command
631