More accurate CHANGELOG
[yosys.git] / CHANGELOG
1
2 List of major changes and improvements between releases
3 =======================================================
4
5
6 Yosys 0.8 .. Yosys 0.8-dev
7 --------------------------
8
9 * Various
10 - Added $changed support to read_verilog
11 - Added "write_edif -attrprop"
12 - Added "ice40_unlut" pass
13 - Added "opt_lut" pass
14 - Added "synth_ice40 -relut"
15 - Added "synth_ice40 -noabc"
16 - Added "gate2lut.v" techmap rule
17 - Added "rename -src"
18 - Added "equiv_opt" pass
19 - Added "read_aiger" frontend
20 - Added "abc9" pass for timing-aware techmapping (experimental, FPGA only, no FFs)
21 - Added "synth_xilinx -abc9" (experimental)
22 - Added "synth_ice40 -abc9" (experimental)
23 - "synth_xilinx" to now infer hard shift registers, using new "shregmap -tech xilinx"
24
25
26 Yosys 0.7 .. Yosys 0.8
27 ----------------------
28
29 * Various
30 - Many bugfixes and small improvements
31 - Strip debug symbols from installed binary
32 - Replace -ignore_redef with -[no]overwrite in front-ends
33 - Added write_verilog hex dump support, add -nohex option
34 - Added "write_verilog -decimal"
35 - Added "scc -set_attr"
36 - Added "verilog_defines" command
37 - Remeber defines from one read_verilog to next
38 - Added support for hierarchical defparam
39 - Added FIRRTL back-end
40 - Improved ABC default scripts
41 - Added "design -reset-vlog"
42 - Added "yosys -W regex", "yosys -w regex", and "yosys -e regex"
43 - Added Verilog $rtoi and $itor support
44 - Added "check -initdrv"
45 - Added "read_blif -wideports"
46 - Added support for systemVerilog "++" and "--" operators
47 - Added support for SystemVerilog unique, unique0, and priority case
48 - Added "write_edif" options for edif "flavors"
49 - Added support for resetall compiler directive
50 - Added simple C beck-end (bitwise combinatorical only atm)
51 - Added $_ANDNOT_ and $_ORNOT_ cell types
52 - Added cell library aliases to "abc -g"
53 - Added "setundef -anyseq"
54 - Added "chtype" command
55 - Added "design -import"
56 - Added "write_table" command
57 - Added "read_json" command
58 - Added "sim" command
59 - Added "extract_fa" and "extract_reduce" commands
60 - Added "extract_counter" command
61 - Added "opt_demorgan" command
62 - Added support for $size and $bits SystemVerilog functions
63 - Added "blackbox" command
64 - Added "ltp" command
65 - Added support for editline as replacement for readline
66 - Added warnings for driver-driver conflicts between FFs (and other cells) and constants
67 - Added "yosys -E" for creating Makefile dependencies files
68 - Added "synth -noshare"
69 - Added "memory_nordff"
70 - Added "setundef -undef -expose -anyconst"
71 - Added "expose -input"
72 - Added specify/specparam parser support (simply ignore them)
73 - Added "write_blif -inames -iattr"
74 - Added "hierarchy -simcheck"
75 - Added an option to statically link abc into yosys
76 - Added protobuf back-end
77 - Added BLIF parsing support for .conn and .cname
78 - Added read_verilog error checking for reg/wire/logic misuse
79 - Added "make coverage" and ENABLE_GCOV build option
80
81 * Changes in Yosys APIs
82 - Added ConstEval defaultval feature
83 - Added {get,set}_src_attribute() methods on RTLIL::AttrObject
84 - Added SigSpec::is_fully_ones() and Const::is_fully_ones()
85 - Added log_file_warning() and log_file_error() functions
86
87 * Formal Verification
88 - Added "write_aiger"
89 - Added "yosys-smtbmc --aig"
90 - Added "always <positive_int>" to .smtc format
91 - Added $cover cell type and support for cover properties
92 - Added $fair/$live cell type and support for liveness properties
93 - Added smtbmc support for memory vcd dumping
94 - Added "chformal" command
95 - Added "write_smt2 -stbv" and "write_smt2 -stdt"
96 - Fix equiv_simple, old behavior now available with "equiv_simple -short"
97 - Change to Yices2 as default SMT solver (it is GPL now)
98 - Added "yosys-smtbmc --presat" (now default in SymbiYosys)
99 - Added "yosys-smtbmc --smtc-init --smtc-top --noinit"
100 - Added a brand new "write_btor" command for BTOR2
101 - Added clk2fflogic memory support and other improvements
102 - Added "async memory write" support to write_smt2
103 - Simulate clock toggling in yosys-smtbmc VCD output
104 - Added $allseq/$allconst cells for EA-solving
105 - Make -nordff the default in "prep"
106 - Added (* gclk *) attribute
107 - Added "async2sync" pass for single-clock designs with async resets
108
109 * Verific support
110 - Many improvements in Verific front-end
111 - Added proper handling of concurent SVA properties
112 - Map "const" and "rand const" to $anyseq/$anyconst
113 - Added "verific -import -flatten" and "verific -import -extnets"
114 - Added "verific -vlog-incdir -vlog-define -vlog-libdir"
115 - Remove PSL support (because PSL has been removed in upstream Verific)
116 - Improve integration with "hierarchy" command design elaboration
117 - Added YOSYS_NOVERIFIC for running non-verific test cases with verific bin
118 - Added simpilied "read" command that automatically uses verific if available
119 - Added "verific -set-<severity> <msg_id>.."
120 - Added "verific -work <libname>"
121
122 * New back-ends
123 - Added initial Coolrunner-II support
124 - Added initial eASIC support
125 - Added initial ECP5 support
126
127 * GreenPAK Support
128 - Added support for GP_DLATCH, GP_SPI, GP_DCMx, GP_COUNTx, etc.
129
130 * iCE40 Support
131 - Add "synth_ice40 -vpr"
132 - Add "synth_ice40 -nodffe"
133 - Add "synth_ice40 -json"
134 - Add Support for UltraPlus cells
135
136 * MAX10 and Cyclone IV Support
137 - Added initial version of metacommand "synth_intel".
138 - Improved write_verilog command to produce VQM netlist for Quartus Prime.
139 - Added support for MAX10 FPGA family synthesis.
140 - Added support for Cyclone IV family synthesis.
141 - Added example of implementation for DE2i-150 board.
142 - Added example of implementation for MAX10 development kit.
143 - Added LFSR example from Asic World.
144 - Added "dffinit -highlow" for mapping to Intel primitives
145
146
147 Yosys 0.6 .. Yosys 0.7
148 ----------------------
149
150 * Various
151 - Added "yosys -D" feature
152 - Added support for installed plugins in $(DATDIR)/plugins/
153 - Renamed opt_const to opt_expr
154 - Renamed opt_share to opt_merge
155 - Added "prep -flatten" and "synth -flatten"
156 - Added "prep -auto-top" and "synth -auto-top"
157 - Using "mfs" and "lutpack" in ABC lut mapping
158 - Support for abstract modules in chparam
159 - Cleanup abstract modules at end of "hierarchy -top"
160 - Added tristate buffer support to iopadmap
161 - Added opt_expr support for div/mod by power-of-two
162 - Added "select -assert-min <N> -assert-max <N>"
163 - Added "attrmvcp" pass
164 - Added "attrmap" command
165 - Added "tee +INT -INT"
166 - Added "zinit" pass
167 - Added "setparam -type"
168 - Added "shregmap" pass
169 - Added "setundef -init"
170 - Added "nlutmap -assert"
171 - Added $sop cell type and "abc -sop -I <num> -P <num>"
172 - Added "dc2" to default ABC scripts
173 - Added "deminout"
174 - Added "insbuf" command
175 - Added "prep -nomem"
176 - Added "opt_rmdff -keepdc"
177 - Added "prep -nokeepdc"
178 - Added initial version of "synth_gowin"
179 - Added "fsm_expand -full"
180 - Added support for fsm_encoding="user"
181 - Many improvements in GreenPAK4 support
182 - Added black box modules for all Xilinx 7-series lib cells
183 - Added synth_ice40 support for latches via logic loops
184 - Fixed ice40_opt lut unmapping, added "ice40_opt -unlut"
185
186 * Build System
187 - Added ABCEXTERNAL and ABCURL make variables
188 - Added BINDIR, LIBDIR, and DATDIR make variables
189 - Added PKG_CONFIG make variable
190 - Added SEED make variable (for "make test")
191 - Added YOSYS_VER_STR make variable
192 - Updated min GCC requirement to GCC 4.8
193 - Updated required Bison version to Bison 3.x
194
195 * Internal APIs
196 - Added ast.h to exported headers
197 - Added ScriptPass helper class for script-like passes
198 - Added CellEdgesDatabase API
199
200 * Front-ends and Back-ends
201 - Added filename glob support to all front-ends
202 - Added avail (black-box) module params to ilang format
203 - Added $display %m support
204 - Added support for $stop Verilog system task
205 - Added support for SystemVerilog packages
206 - Fixed procedural assignments to non-unique lvalues, e.g. {y,y} = {a,b}
207 - Added support for "active high" and "active low" latches in read_blif and write_blif
208 - Use init value "2" for all uninitialized FFs in BLIF back-end
209 - Added "read_blif -sop"
210 - Added "write_blif -noalias"
211 - Added various write_blif options for VTR support
212 - write_json: also write module attributes.
213 - Added "write_verilog -nodec -nostr -defparam"
214 - Added "read_verilog -norestrict -assume-asserts"
215 - Added support for bus interfaces to "read_liberty -lib"
216 - Added liberty parser support for types within cell decls
217 - Added "write_verilog -renameprefix -v"
218 - Added "write_edif -nogndvcc"
219
220 * Formal Verification
221 - Support for hierarchical designs in smt2 back-end
222 - Yosys-smtbmc: Support for hierarchical VCD dumping
223 - Added $initstate cell type and vlog function
224 - Added $anyconst and $anyseq cell types and vlog functions
225 - Added printing of code loc of failed asserts to yosys-smtbmc
226 - Added memory_memx pass, "memory -memx", and "prep -memx"
227 - Added "proc_mux -ifx"
228 - Added "yosys-smtbmc -g"
229 - Deprecated "write_smt2 -regs" (by default on now)
230 - Made "write_smt2 -bv -mem" default, added "write_smt2 -nobv -nomem"
231 - Added support for memories to smtio.py
232 - Added "yosys-smtbmc --dump-vlogtb"
233 - Added "yosys-smtbmc --smtc --dump-smtc"
234 - Added "yosys-smtbmc --dump-all"
235 - Added assertpmux command
236 - Added "yosys-smtbmc --unroll"
237 - Added $past, $stable, $rose, $fell SVA functions
238 - Added "yosys-smtbmc --noinfo and --dummy"
239 - Added "yosys-smtbmc --noincr"
240 - Added "yosys-smtbmc --cex <filename>"
241 - Added $ff and $_FF_ cell types
242 - Added $global_clock verilog syntax support for creating $ff cells
243 - Added clk2fflogic
244
245
246 Yosys 0.5 .. Yosys 0.6
247 ----------------------
248
249 * Various
250 - Added Contributor Covenant Code of Conduct
251 - Various improvements in dict<> and pool<>
252 - Added hashlib::mfp and refactored SigMap
253 - Improved support for reals as module parameters
254 - Various improvements in SMT2 back-end
255 - Added "keep_hierarchy" attribute
256 - Verilog front-end: define `BLACKBOX in -lib mode
257 - Added API for converting internal cells to AIGs
258 - Added ENABLE_LIBYOSYS Makefile option
259 - Removed "techmap -share_map" (use "-map +/filename" instead)
260 - Switched all Python scripts to Python 3
261 - Added support for $display()/$write() and $finish() to Verilog front-end
262 - Added "yosys-smtbmc" formal verification flow
263 - Added options for clang sanitizers to Makefile
264
265 * New commands and options
266 - Added "scc -expect <N> -nofeedback"
267 - Added "proc_dlatch"
268 - Added "check"
269 - Added "select %xe %cie %coe %M %C %R"
270 - Added "sat -dump_json" (WaveJSON format)
271 - Added "sat -tempinduct-baseonly -tempinduct-inductonly"
272 - Added "sat -stepsize" and "sat -tempinduct-step"
273 - Added "sat -show-regs -show-public -show-all"
274 - Added "write_json" (Native Yosys JSON format)
275 - Added "write_blif -attr"
276 - Added "dffinit"
277 - Added "chparam"
278 - Added "muxcover"
279 - Added "pmuxtree"
280 - Added memory_bram "make_outreg" feature
281 - Added "splice -wires"
282 - Added "dff2dffe -direct-match"
283 - Added simplemap $lut support
284 - Added "read_blif"
285 - Added "opt_share -share_all"
286 - Added "aigmap"
287 - Added "write_smt2 -mem -regs -wires"
288 - Added "memory -nordff"
289 - Added "write_smv"
290 - Added "synth -nordff -noalumacc"
291 - Added "rename -top new_name"
292 - Added "opt_const -clkinv"
293 - Added "synth -nofsm"
294 - Added "miter -assert"
295 - Added "read_verilog -noautowire"
296 - Added "read_verilog -nodpi"
297 - Added "tribuf"
298 - Added "lut2mux"
299 - Added "nlutmap"
300 - Added "qwp"
301 - Added "test_cell -noeval"
302 - Added "edgetypes"
303 - Added "equiv_struct"
304 - Added "equiv_purge"
305 - Added "equiv_mark"
306 - Added "equiv_add -try -cell"
307 - Added "singleton"
308 - Added "abc -g -luts"
309 - Added "torder"
310 - Added "write_blif -cname"
311 - Added "submod -copy"
312 - Added "dffsr2dff"
313 - Added "stat -liberty"
314
315 * Synthesis metacommands
316 - Various improvements in synth_xilinx
317 - Added synth_ice40 and synth_greenpak4
318 - Added "prep" metacommand for "synthesis lite"
319
320 * Cell library changes
321 - Added cell types to "help" system
322 - Added $meminit cell type
323 - Added $assume cell type
324 - Added $_MUX4_, $_MUX8_, and $_MUX16_ cells
325 - Added $tribuf and $_TBUF_ cell types
326 - Added read-enable to memory model
327
328 * YosysJS
329 - Various improvements in emscripten build
330 - Added alternative webworker-based JS API
331 - Added a few example applications
332
333
334 Yosys 0.4 .. Yosys 0.5
335 ----------------------
336
337 * API changes
338 - Added log_warning()
339 - Added eval_select_args() and eval_select_op()
340 - Added cell->known(), cell->input(portname), cell->output(portname)
341 - Skip blackbox modules in design->selected_modules()
342 - Replaced std::map<> and std::set<> with dict<> and pool<>
343 - New SigSpec::extend() is what used to be SigSpec::extend_u0()
344 - Added YS_OVERRIDE, YS_FINAL, YS_ATTRIBUTE, YS_NORETURN
345
346 * Cell library changes
347 - Added flip-flops with enable ($dffe etc.)
348 - Added $equiv cells for equivalence checking framework
349
350 * Various
351 - Updated ABC to hg rev 61ad5f908c03
352 - Added clock domain partitioning to ABC pass
353 - Improved plugin building (see "yosys-config --build")
354 - Added ENABLE_NDEBUG Makefile flag for high-performance builds
355 - Added "yosys -d", "yosys -L" and other driver improvements
356 - Added support for multi-bit (array) cell ports to "write_edif"
357 - Now printing most output to stdout, not stderr
358 - Added "onehot" attribute (set by "fsm_map")
359 - Various performance improvements
360 - Vastly improved Xilinx flow
361 - Added "make unsintall"
362
363 * Equivalence checking
364 - Added equivalence checking commands:
365 equiv_make equiv_simple equiv_status
366 equiv_induct equiv_miter
367 equiv_add equiv_remove
368
369 * Block RAM support:
370 - Added "memory_bram" command
371 - Added BRAM support to Xilinx flow
372
373 * Other New Commands and Options
374 - Added "dff2dffe"
375 - Added "fsm -encfile"
376 - Added "dfflibmap -prepare"
377 - Added "write_blid -unbuf -undef -blackbox"
378 - Added "write_smt2" for writing SMT-LIBv2 files
379 - Added "test_cell -w -muxdiv"
380 - Added "select -read"
381
382
383 Yosys 0.3.0 .. Yosys 0.4
384 ------------------------
385
386 * Platform Support
387 - Added support for mxe-based cross-builds for win32
388 - Added sourcecode-export as VisualStudio project
389 - Added experimental EMCC (JavaScript) support
390
391 * Verilog Frontend
392 - Added -sv option for SystemVerilog (and automatic *.sv file support)
393 - Added support for real-valued constants and constant expressions
394 - Added support for non-standard "via_celltype" attribute on task/func
395 - Added support for non-standard "module mod_name(...);" syntax
396 - Added support for non-standard """ macro bodies
397 - Added support for array with more than one dimension
398 - Added support for $readmemh and $readmemb
399 - Added support for DPI functions
400
401 * Changes in internal cell library
402 - Added $shift and $shiftx cell types
403 - Added $alu, $lcu, $fa and $macc cell types
404 - Removed $bu0 and $safe_pmux cell types
405 - $mem/$memwr WR_EN input is now a per-data-bit enable signal
406 - Added $_NAND_ $_NOR_ $_XNOR_ $_AOI3_ $_OAI3_ $_AOI4_ $_OAI4_
407 - Renamed ports of $lut cells (from I->O to A->Y)
408 - Renamed $_INV_ to $_NOT_
409
410 * Changes for simple synthesis flows
411 - There is now a "synth" command with a recommended default script
412 - Many improvements in synthesis of arithmetic functions to gates
413 - Multipliers and adders with many operands are using carry-save adder trees
414 - Remaining adders are now implemented using Brent-Kung carry look-ahead adders
415 - Various new high-level optimizations on RTL netlist
416 - Various improvements in FSM optimization
417 - Updated ABC to hg 5b5af75f1dda (from 2014-11-07)
418
419 * Changes in internal APIs and RTLIL
420 - Added log_id() and log_cell() helper functions
421 - Added function-like cell creation helpers
422 - Added GetSize() function (like .size() but with int)
423 - Major refactoring of RTLIL::Module and related classes
424 - Major refactoring of RTLIL::SigSpec and related classes
425 - Now RTLIL::IdString is essentially an int
426 - Added macros for code coverage counters
427 - Added some Makefile magic for pretty make logs
428 - Added "kernel/yosys.h" with all the core definitions
429 - Changed a lot of code from FILE* to c++ streams
430 - Added RTLIL::Monitor API and "trace" command
431 - Added "Yosys" C++ namespace
432
433 * Changes relevant to SAT solving
434 - Added ezSAT::keep_cnf() and ezSAT::non_incremental()
435 - Added native ezSAT support for vector shift ops
436 - Updated MiniSAT to git 37dc6c67e2 (from 2013-09-25)
437
438 * New commands (or large improvements to commands)
439 - Added "synth" command with default script
440 - Added "share" (finally some real resource sharing)
441 - Added "memory_share" (reduce number of ports on memories)
442 - Added "wreduce" and "alumacc" commands
443 - Added "opt -keepdc -fine -full -fast"
444 - Added some "test_*" commands
445
446 * Various other changes
447 - Added %D and %c select operators
448 - Added support for labels in yosys scripts
449 - Added support for here-documents in yosys scripts
450 - Support "+/" prefix for files from proc_share_dir
451 - Added "autoidx" statement to ilang language
452 - Switched from "yosys-svgviewer" to "xdot"
453 - Renamed "stdcells.v" to "techmap.v"
454 - Various bug fixes and small improvements
455 - Improved welcome and bye messages
456
457
458 Yosys 0.2.0 .. Yosys 0.3.0
459 --------------------------
460
461 * Driver program and overall behavior:
462 - Added "design -push" and "design -pop"
463 - Added "tee" command for redirecting log output
464
465 * Changes in the internal cell library:
466 - Added $dlatchsr and $_DLATCHSR_???_ cell types
467
468 * Improvements in Verilog frontend:
469 - Improved support for const functions (case, always, repeat)
470 - The generate..endgenerate keywords are now optional
471 - Added support for arrays of module instances
472 - Added support for "`default_nettype" directive
473 - Added support for "`line" directive
474
475 * Other front- and back-ends:
476 - Various changes to "write_blif" options
477 - Various improvements in EDIF backend
478 - Added "vhdl2verilog" pseudo-front-end
479 - Added "verific" pseudo-front-end
480
481 * Improvements in technology mapping:
482 - Added support for recursive techmap
483 - Added CONSTMSK and CONSTVAL features to techmap
484 - Added _TECHMAP_CONNMAP_*_ feature to techmap
485 - Added _TECHMAP_REPLACE_ feature to techmap
486 - Added "connwrappers" command for wrap-extract-unwrap method
487 - Added "extract -map %<design_name>" feature
488 - Added "extract -ignore_param ..." and "extract -ignore_parameters"
489 - Added "techmap -max_iter" option
490
491 * Improvements to "eval" and "sat" framework:
492 - Now include a copy of Minisat (with build fixes applied)
493 - Switched to Minisat::SimpSolver as SAT back-end
494 - Added "sat -dump_vcd" feature
495 - Added "sat -dump_cnf" feature
496 - Added "sat -initsteps <N>" feature
497 - Added "freduce -stop <N>" feature
498 - Added "freduce -dump <prefix>" feature
499
500 * Integration with ABC:
501 - Updated ABC rev to 7600ffb9340c
502
503 * Improvements in the internal APIs:
504 - Added RTLIL::Module::add... helper methods
505 - Various build fixes for OSX (Darwin) and OpenBSD
506
507
508 Yosys 0.1.0 .. Yosys 0.2.0
509 --------------------------
510
511 * Changes to the driver program:
512 - Added "yosys -h" and "yosys -H"
513 - Added support for backslash line continuation in scripts
514 - Added support for #-comments in same line as command
515 - Added "echo" and "log" commands
516
517 * Improvements in Verilog frontend:
518 - Added support for local registers in named blocks
519 - Added support for "case" in "generate" blocks
520 - Added support for $clog2 system function
521 - Added support for basic SystemVerilog assert statements
522 - Added preprocessor support for macro arguments
523 - Added preprocessor support for `elsif statement
524 - Added "verilog_defaults" command
525 - Added read_verilog -icells option
526 - Added support for constant sizes from parameters
527 - Added "read_verilog -setattr"
528 - Added support for function returning 'integer'
529 - Added limited support for function calls in parameter values
530 - Added "read_verilog -defer" to suppress evaluation of modules with default parameters
531
532 * Other front- and back-ends:
533 - Added BTOR backend
534 - Added Liberty frontend
535
536 * Improvements in technology mapping:
537 - The "dfflibmap" command now strongly prefers solutions with
538 no inverters in clock paths
539 - The "dfflibmap" command now prefers cells with smaller area
540 - Added support for multiple -map options to techmap
541 - Added "dfflibmap" support for //-comments in liberty files
542 - Added "memory_unpack" command to revert "memory_collect"
543 - Added standard techmap rule "techmap -share_map pmux2mux.v"
544 - Added "iopadmap -bits"
545 - Added "setundef" command
546 - Added "hilomap" command
547
548 * Changes in the internal cell library:
549 - Major rewrite of simlib.v for better compatibility with other tools
550 - Added PRIORITY parameter to $memwr cells
551 - Added TRANSPARENT parameter to $memrd cells
552 - Added RD_TRANSPARENT parameter to $mem cells
553 - Added $bu0 cell (always 0-extend, even undef MSB)
554 - Added $assert cell type
555 - Added $slice and $concat cell types
556
557 * Integration with ABC:
558 - Updated ABC to hg rev 2058c8ccea68
559 - Tighter integration of ABC build with Yosys build. The make
560 targets 'make abc' and 'make install-abc' are now obsolete.
561 - Added support for passing FFs from one clock domain through ABC
562 - Now always use BLIF as exchange format with ABC
563 - Added support for "abc -script +<command_sequence>"
564 - Improved standard ABC recipe
565 - Added support for "keep" attribute to abc command
566 - Added "abc -dff / -clk / -keepff" options
567
568 * Improvements to "eval" and "sat" framework:
569 - Added support for "0" and "~0" in right-hand side -set expressions
570 - Added "eval -set-undef" and "eval -table"
571 - Added "sat -set-init" and "sat -set-init-*" for sequential problems
572 - Added undef support to SAT solver, incl. various new "sat" options
573 - Added correct support for === and !== for "eval" and "sat"
574 - Added "sat -tempinduct" (default -seq is now non-induction sequential)
575 - Added "sat -prove-asserts"
576 - Complete rewrite of the 'freduce' command
577 - Added "miter" command
578 - Added "sat -show-inputs" and "sat -show-outputs"
579 - Added "sat -ignore_unknown_cells" (now produce an error by default)
580 - Added "sat -falsify"
581 - Now "sat -verify" and "sat -falsify" can also be used without "-prove"
582 - Added "expose" command
583 - Added support for @<sel_name> to sat and eval signal expressions
584
585 * Changes in the 'make test' framework and auxiliary test tools:
586 - Added autotest.sh -p and -f options
587 - Replaced autotest.sh ISIM support with XSIM support
588 - Added test cases for SAT framework
589
590 * Added "abbreviated IDs":
591 - Now $<something>$foo can be abbreviated as $foo.
592 - Usually this last part is a unique id (from RTLIL::autoidx)
593 - This abbreviated IDs are now also used in "show" output
594
595 * Other changes to selection framework:
596 - Now */ is optional in */<mode>:<arg> expressions
597 - Added "select -assert-none" and "select -assert-any"
598 - Added support for matching modules by attribute (A:<expr>)
599 - Added "select -none"
600 - Added support for r:<expr> pattern for matching cell parameters
601 - Added support for !=, <, <=, >=, > for attribute and parameter matching
602 - Added support for %s for selecting sub-modules
603 - Added support for %m for expanding selections to whole modules
604 - Added support for i:*, o:* and x:* pattern for selecting module ports
605 - Added support for s:<expr> pattern for matching wire width
606 - Added support for %a operation to select wire aliases
607
608 * Various other changes to commands and options:
609 - The "ls" command now supports wildcards
610 - Added "show -pause" and "show -format dot"
611 - Added "show -color" support for cells
612 - Added "show -label" and "show -notitle"
613 - Added "dump -m" and "dump -n"
614 - Added "history" command
615 - Added "rename -hide"
616 - Added "connect" command
617 - Added "splitnets -driver"
618 - Added "opt_const -mux_undef"
619 - Added "opt_const -mux_bool"
620 - Added "opt_const -undriven"
621 - Added "opt -mux_undef -mux_bool -undriven -purge"
622 - Added "hierarchy -libdir"
623 - Added "hierarchy -purge_lib" (by default now do not remove lib cells)
624 - Added "delete" command
625 - Added "dump -append"
626 - Added "setattr" and "setparam" commands
627 - Added "design -stash/-copy-from/-copy-to"
628 - Added "copy" command
629 - Added "splice" command
630