Add 'opt_share' to CHANGELOG
[yosys.git] / CHANGELOG
1
2 List of major changes and improvements between releases
3 =======================================================
4
5
6 Yosys 0.9 .. Yosys 0.9-dev
7 --------------------------
8
9 * Various
10 - Added "write_xaiger" backend
11 - Added "abc9" pass for timing-aware techmapping (experimental, FPGA only, no FFs)
12 - Added "synth_xilinx -abc9" (experimental)
13 - Added "synth_ice40 -abc9" (experimental)
14 - Added "synth -abc9" (experimental)
15 - Added "script -scriptwire
16 - "synth_xilinx" to now infer wide multiplexers (-widemux <min> to enable)
17 - Added automatic gzip decompression for frontends
18 - Added $_NMUX_ cell type
19 - Added automatic gzip compression (based on filename extension) for backends
20 - Improve attribute and parameter encoding in JSON to avoid ambiguities between
21 bit vectors and strings containing [01xz]*
22 - Added "opt_share" pass, run as part of "opt -full"
23
24 Yosys 0.8 .. Yosys 0.8-dev
25 --------------------------
26
27 * Various
28 - Added $changed support to read_verilog
29 - Added "write_edif -attrprop"
30 - Added "ice40_unlut" pass
31 - Added "opt_lut" pass
32 - Added "synth_ice40 -relut"
33 - Added "synth_ice40 -noabc"
34 - Added "gate2lut.v" techmap rule
35 - Added "rename -src"
36 - Added "equiv_opt" pass
37 - Added "shregmap -tech xilinx"
38 - Added "read_aiger" frontend
39 - Added "muxcover -mux{4,8,16}=<cost>"
40 - Added "muxcover -dmux=<cost>"
41 - Added "muxcover -nopartial"
42 - Added "muxpack" pass
43 - Added "pmux2shiftx -norange"
44 - Added "synth_xilinx -nocarry"
45 - Added "synth_xilinx -nowidelut"
46 - Added "synth_ecp5 -nowidelut"
47 - "synth_xilinx" to now infer hard shift registers (-nosrl to disable)
48 - Fixed sign extension of unsized constants with 'bx and 'bz MSB
49
50
51 Yosys 0.7 .. Yosys 0.8
52 ----------------------
53
54 * Various
55 - Many bugfixes and small improvements
56 - Strip debug symbols from installed binary
57 - Replace -ignore_redef with -[no]overwrite in front-ends
58 - Added write_verilog hex dump support, add -nohex option
59 - Added "write_verilog -decimal"
60 - Added "scc -set_attr"
61 - Added "verilog_defines" command
62 - Remember defines from one read_verilog to next
63 - Added support for hierarchical defparam
64 - Added FIRRTL back-end
65 - Improved ABC default scripts
66 - Added "design -reset-vlog"
67 - Added "yosys -W regex", "yosys -w regex", and "yosys -e regex"
68 - Added Verilog $rtoi and $itor support
69 - Added "check -initdrv"
70 - Added "read_blif -wideports"
71 - Added support for SystemVerilog "++" and "--" operators
72 - Added support for SystemVerilog unique, unique0, and priority case
73 - Added "write_edif" options for edif "flavors"
74 - Added support for resetall compiler directive
75 - Added simple C beck-end (bitwise combinatorical only atm)
76 - Added $_ANDNOT_ and $_ORNOT_ cell types
77 - Added cell library aliases to "abc -g"
78 - Added "setundef -anyseq"
79 - Added "chtype" command
80 - Added "design -import"
81 - Added "write_table" command
82 - Added "read_json" command
83 - Added "sim" command
84 - Added "extract_fa" and "extract_reduce" commands
85 - Added "extract_counter" command
86 - Added "opt_demorgan" command
87 - Added support for $size and $bits SystemVerilog functions
88 - Added "blackbox" command
89 - Added "ltp" command
90 - Added support for editline as replacement for readline
91 - Added warnings for driver-driver conflicts between FFs (and other cells) and constants
92 - Added "yosys -E" for creating Makefile dependencies files
93 - Added "synth -noshare"
94 - Added "memory_nordff"
95 - Added "setundef -undef -expose -anyconst"
96 - Added "expose -input"
97 - Added specify/specparam parser support (simply ignore them)
98 - Added "write_blif -inames -iattr"
99 - Added "hierarchy -simcheck"
100 - Added an option to statically link abc into yosys
101 - Added protobuf back-end
102 - Added BLIF parsing support for .conn and .cname
103 - Added read_verilog error checking for reg/wire/logic misuse
104 - Added "make coverage" and ENABLE_GCOV build option
105
106 * Changes in Yosys APIs
107 - Added ConstEval defaultval feature
108 - Added {get,set}_src_attribute() methods on RTLIL::AttrObject
109 - Added SigSpec::is_fully_ones() and Const::is_fully_ones()
110 - Added log_file_warning() and log_file_error() functions
111
112 * Formal Verification
113 - Added "write_aiger"
114 - Added "yosys-smtbmc --aig"
115 - Added "always <positive_int>" to .smtc format
116 - Added $cover cell type and support for cover properties
117 - Added $fair/$live cell type and support for liveness properties
118 - Added smtbmc support for memory vcd dumping
119 - Added "chformal" command
120 - Added "write_smt2 -stbv" and "write_smt2 -stdt"
121 - Fix equiv_simple, old behavior now available with "equiv_simple -short"
122 - Change to Yices2 as default SMT solver (it is GPL now)
123 - Added "yosys-smtbmc --presat" (now default in SymbiYosys)
124 - Added "yosys-smtbmc --smtc-init --smtc-top --noinit"
125 - Added a brand new "write_btor" command for BTOR2
126 - Added clk2fflogic memory support and other improvements
127 - Added "async memory write" support to write_smt2
128 - Simulate clock toggling in yosys-smtbmc VCD output
129 - Added $allseq/$allconst cells for EA-solving
130 - Make -nordff the default in "prep"
131 - Added (* gclk *) attribute
132 - Added "async2sync" pass for single-clock designs with async resets
133
134 * Verific support
135 - Many improvements in Verific front-end
136 - Added proper handling of concurent SVA properties
137 - Map "const" and "rand const" to $anyseq/$anyconst
138 - Added "verific -import -flatten" and "verific -import -extnets"
139 - Added "verific -vlog-incdir -vlog-define -vlog-libdir"
140 - Remove PSL support (because PSL has been removed in upstream Verific)
141 - Improve integration with "hierarchy" command design elaboration
142 - Added YOSYS_NOVERIFIC for running non-verific test cases with verific bin
143 - Added simpilied "read" command that automatically uses verific if available
144 - Added "verific -set-<severity> <msg_id>.."
145 - Added "verific -work <libname>"
146
147 * New back-ends
148 - Added initial Coolrunner-II support
149 - Added initial eASIC support
150 - Added initial ECP5 support
151
152 * GreenPAK Support
153 - Added support for GP_DLATCH, GP_SPI, GP_DCMx, GP_COUNTx, etc.
154
155 * iCE40 Support
156 - Add "synth_ice40 -vpr"
157 - Add "synth_ice40 -nodffe"
158 - Add "synth_ice40 -json"
159 - Add Support for UltraPlus cells
160
161 * MAX10 and Cyclone IV Support
162 - Added initial version of metacommand "synth_intel".
163 - Improved write_verilog command to produce VQM netlist for Quartus Prime.
164 - Added support for MAX10 FPGA family synthesis.
165 - Added support for Cyclone IV family synthesis.
166 - Added example of implementation for DE2i-150 board.
167 - Added example of implementation for MAX10 development kit.
168 - Added LFSR example from Asic World.
169 - Added "dffinit -highlow" for mapping to Intel primitives
170
171
172 Yosys 0.6 .. Yosys 0.7
173 ----------------------
174
175 * Various
176 - Added "yosys -D" feature
177 - Added support for installed plugins in $(DATDIR)/plugins/
178 - Renamed opt_const to opt_expr
179 - Renamed opt_share to opt_merge
180 - Added "prep -flatten" and "synth -flatten"
181 - Added "prep -auto-top" and "synth -auto-top"
182 - Using "mfs" and "lutpack" in ABC lut mapping
183 - Support for abstract modules in chparam
184 - Cleanup abstract modules at end of "hierarchy -top"
185 - Added tristate buffer support to iopadmap
186 - Added opt_expr support for div/mod by power-of-two
187 - Added "select -assert-min <N> -assert-max <N>"
188 - Added "attrmvcp" pass
189 - Added "attrmap" command
190 - Added "tee +INT -INT"
191 - Added "zinit" pass
192 - Added "setparam -type"
193 - Added "shregmap" pass
194 - Added "setundef -init"
195 - Added "nlutmap -assert"
196 - Added $sop cell type and "abc -sop -I <num> -P <num>"
197 - Added "dc2" to default ABC scripts
198 - Added "deminout"
199 - Added "insbuf" command
200 - Added "prep -nomem"
201 - Added "opt_rmdff -keepdc"
202 - Added "prep -nokeepdc"
203 - Added initial version of "synth_gowin"
204 - Added "fsm_expand -full"
205 - Added support for fsm_encoding="user"
206 - Many improvements in GreenPAK4 support
207 - Added black box modules for all Xilinx 7-series lib cells
208 - Added synth_ice40 support for latches via logic loops
209 - Fixed ice40_opt lut unmapping, added "ice40_opt -unlut"
210
211 * Build System
212 - Added ABCEXTERNAL and ABCURL make variables
213 - Added BINDIR, LIBDIR, and DATDIR make variables
214 - Added PKG_CONFIG make variable
215 - Added SEED make variable (for "make test")
216 - Added YOSYS_VER_STR make variable
217 - Updated min GCC requirement to GCC 4.8
218 - Updated required Bison version to Bison 3.x
219
220 * Internal APIs
221 - Added ast.h to exported headers
222 - Added ScriptPass helper class for script-like passes
223 - Added CellEdgesDatabase API
224
225 * Front-ends and Back-ends
226 - Added filename glob support to all front-ends
227 - Added avail (black-box) module params to ilang format
228 - Added $display %m support
229 - Added support for $stop Verilog system task
230 - Added support for SystemVerilog packages
231 - Fixed procedural assignments to non-unique lvalues, e.g. {y,y} = {a,b}
232 - Added support for "active high" and "active low" latches in read_blif and write_blif
233 - Use init value "2" for all uninitialized FFs in BLIF back-end
234 - Added "read_blif -sop"
235 - Added "write_blif -noalias"
236 - Added various write_blif options for VTR support
237 - write_json: also write module attributes.
238 - Added "write_verilog -nodec -nostr -defparam"
239 - Added "read_verilog -norestrict -assume-asserts"
240 - Added support for bus interfaces to "read_liberty -lib"
241 - Added liberty parser support for types within cell decls
242 - Added "write_verilog -renameprefix -v"
243 - Added "write_edif -nogndvcc"
244
245 * Formal Verification
246 - Support for hierarchical designs in smt2 back-end
247 - Yosys-smtbmc: Support for hierarchical VCD dumping
248 - Added $initstate cell type and vlog function
249 - Added $anyconst and $anyseq cell types and vlog functions
250 - Added printing of code loc of failed asserts to yosys-smtbmc
251 - Added memory_memx pass, "memory -memx", and "prep -memx"
252 - Added "proc_mux -ifx"
253 - Added "yosys-smtbmc -g"
254 - Deprecated "write_smt2 -regs" (by default on now)
255 - Made "write_smt2 -bv -mem" default, added "write_smt2 -nobv -nomem"
256 - Added support for memories to smtio.py
257 - Added "yosys-smtbmc --dump-vlogtb"
258 - Added "yosys-smtbmc --smtc --dump-smtc"
259 - Added "yosys-smtbmc --dump-all"
260 - Added assertpmux command
261 - Added "yosys-smtbmc --unroll"
262 - Added $past, $stable, $rose, $fell SVA functions
263 - Added "yosys-smtbmc --noinfo and --dummy"
264 - Added "yosys-smtbmc --noincr"
265 - Added "yosys-smtbmc --cex <filename>"
266 - Added $ff and $_FF_ cell types
267 - Added $global_clock verilog syntax support for creating $ff cells
268 - Added clk2fflogic
269
270
271 Yosys 0.5 .. Yosys 0.6
272 ----------------------
273
274 * Various
275 - Added Contributor Covenant Code of Conduct
276 - Various improvements in dict<> and pool<>
277 - Added hashlib::mfp and refactored SigMap
278 - Improved support for reals as module parameters
279 - Various improvements in SMT2 back-end
280 - Added "keep_hierarchy" attribute
281 - Verilog front-end: define `BLACKBOX in -lib mode
282 - Added API for converting internal cells to AIGs
283 - Added ENABLE_LIBYOSYS Makefile option
284 - Removed "techmap -share_map" (use "-map +/filename" instead)
285 - Switched all Python scripts to Python 3
286 - Added support for $display()/$write() and $finish() to Verilog front-end
287 - Added "yosys-smtbmc" formal verification flow
288 - Added options for clang sanitizers to Makefile
289
290 * New commands and options
291 - Added "scc -expect <N> -nofeedback"
292 - Added "proc_dlatch"
293 - Added "check"
294 - Added "select %xe %cie %coe %M %C %R"
295 - Added "sat -dump_json" (WaveJSON format)
296 - Added "sat -tempinduct-baseonly -tempinduct-inductonly"
297 - Added "sat -stepsize" and "sat -tempinduct-step"
298 - Added "sat -show-regs -show-public -show-all"
299 - Added "write_json" (Native Yosys JSON format)
300 - Added "write_blif -attr"
301 - Added "dffinit"
302 - Added "chparam"
303 - Added "muxcover"
304 - Added "pmuxtree"
305 - Added memory_bram "make_outreg" feature
306 - Added "splice -wires"
307 - Added "dff2dffe -direct-match"
308 - Added simplemap $lut support
309 - Added "read_blif"
310 - Added "opt_share -share_all"
311 - Added "aigmap"
312 - Added "write_smt2 -mem -regs -wires"
313 - Added "memory -nordff"
314 - Added "write_smv"
315 - Added "synth -nordff -noalumacc"
316 - Added "rename -top new_name"
317 - Added "opt_const -clkinv"
318 - Added "synth -nofsm"
319 - Added "miter -assert"
320 - Added "read_verilog -noautowire"
321 - Added "read_verilog -nodpi"
322 - Added "tribuf"
323 - Added "lut2mux"
324 - Added "nlutmap"
325 - Added "qwp"
326 - Added "test_cell -noeval"
327 - Added "edgetypes"
328 - Added "equiv_struct"
329 - Added "equiv_purge"
330 - Added "equiv_mark"
331 - Added "equiv_add -try -cell"
332 - Added "singleton"
333 - Added "abc -g -luts"
334 - Added "torder"
335 - Added "write_blif -cname"
336 - Added "submod -copy"
337 - Added "dffsr2dff"
338 - Added "stat -liberty"
339
340 * Synthesis metacommands
341 - Various improvements in synth_xilinx
342 - Added synth_ice40 and synth_greenpak4
343 - Added "prep" metacommand for "synthesis lite"
344
345 * Cell library changes
346 - Added cell types to "help" system
347 - Added $meminit cell type
348 - Added $assume cell type
349 - Added $_MUX4_, $_MUX8_, and $_MUX16_ cells
350 - Added $tribuf and $_TBUF_ cell types
351 - Added read-enable to memory model
352
353 * YosysJS
354 - Various improvements in emscripten build
355 - Added alternative webworker-based JS API
356 - Added a few example applications
357
358
359 Yosys 0.4 .. Yosys 0.5
360 ----------------------
361
362 * API changes
363 - Added log_warning()
364 - Added eval_select_args() and eval_select_op()
365 - Added cell->known(), cell->input(portname), cell->output(portname)
366 - Skip blackbox modules in design->selected_modules()
367 - Replaced std::map<> and std::set<> with dict<> and pool<>
368 - New SigSpec::extend() is what used to be SigSpec::extend_u0()
369 - Added YS_OVERRIDE, YS_FINAL, YS_ATTRIBUTE, YS_NORETURN
370
371 * Cell library changes
372 - Added flip-flops with enable ($dffe etc.)
373 - Added $equiv cells for equivalence checking framework
374
375 * Various
376 - Updated ABC to hg rev 61ad5f908c03
377 - Added clock domain partitioning to ABC pass
378 - Improved plugin building (see "yosys-config --build")
379 - Added ENABLE_NDEBUG Makefile flag for high-performance builds
380 - Added "yosys -d", "yosys -L" and other driver improvements
381 - Added support for multi-bit (array) cell ports to "write_edif"
382 - Now printing most output to stdout, not stderr
383 - Added "onehot" attribute (set by "fsm_map")
384 - Various performance improvements
385 - Vastly improved Xilinx flow
386 - Added "make unsintall"
387
388 * Equivalence checking
389 - Added equivalence checking commands:
390 equiv_make equiv_simple equiv_status
391 equiv_induct equiv_miter
392 equiv_add equiv_remove
393
394 * Block RAM support:
395 - Added "memory_bram" command
396 - Added BRAM support to Xilinx flow
397
398 * Other New Commands and Options
399 - Added "dff2dffe"
400 - Added "fsm -encfile"
401 - Added "dfflibmap -prepare"
402 - Added "write_blid -unbuf -undef -blackbox"
403 - Added "write_smt2" for writing SMT-LIBv2 files
404 - Added "test_cell -w -muxdiv"
405 - Added "select -read"
406
407
408 Yosys 0.3.0 .. Yosys 0.4
409 ------------------------
410
411 * Platform Support
412 - Added support for mxe-based cross-builds for win32
413 - Added sourcecode-export as VisualStudio project
414 - Added experimental EMCC (JavaScript) support
415
416 * Verilog Frontend
417 - Added -sv option for SystemVerilog (and automatic *.sv file support)
418 - Added support for real-valued constants and constant expressions
419 - Added support for non-standard "via_celltype" attribute on task/func
420 - Added support for non-standard "module mod_name(...);" syntax
421 - Added support for non-standard """ macro bodies
422 - Added support for array with more than one dimension
423 - Added support for $readmemh and $readmemb
424 - Added support for DPI functions
425
426 * Changes in internal cell library
427 - Added $shift and $shiftx cell types
428 - Added $alu, $lcu, $fa and $macc cell types
429 - Removed $bu0 and $safe_pmux cell types
430 - $mem/$memwr WR_EN input is now a per-data-bit enable signal
431 - Added $_NAND_ $_NOR_ $_XNOR_ $_AOI3_ $_OAI3_ $_AOI4_ $_OAI4_
432 - Renamed ports of $lut cells (from I->O to A->Y)
433 - Renamed $_INV_ to $_NOT_
434
435 * Changes for simple synthesis flows
436 - There is now a "synth" command with a recommended default script
437 - Many improvements in synthesis of arithmetic functions to gates
438 - Multipliers and adders with many operands are using carry-save adder trees
439 - Remaining adders are now implemented using Brent-Kung carry look-ahead adders
440 - Various new high-level optimizations on RTL netlist
441 - Various improvements in FSM optimization
442 - Updated ABC to hg 5b5af75f1dda (from 2014-11-07)
443
444 * Changes in internal APIs and RTLIL
445 - Added log_id() and log_cell() helper functions
446 - Added function-like cell creation helpers
447 - Added GetSize() function (like .size() but with int)
448 - Major refactoring of RTLIL::Module and related classes
449 - Major refactoring of RTLIL::SigSpec and related classes
450 - Now RTLIL::IdString is essentially an int
451 - Added macros for code coverage counters
452 - Added some Makefile magic for pretty make logs
453 - Added "kernel/yosys.h" with all the core definitions
454 - Changed a lot of code from FILE* to c++ streams
455 - Added RTLIL::Monitor API and "trace" command
456 - Added "Yosys" C++ namespace
457
458 * Changes relevant to SAT solving
459 - Added ezSAT::keep_cnf() and ezSAT::non_incremental()
460 - Added native ezSAT support for vector shift ops
461 - Updated MiniSAT to git 37dc6c67e2 (from 2013-09-25)
462
463 * New commands (or large improvements to commands)
464 - Added "synth" command with default script
465 - Added "share" (finally some real resource sharing)
466 - Added "memory_share" (reduce number of ports on memories)
467 - Added "wreduce" and "alumacc" commands
468 - Added "opt -keepdc -fine -full -fast"
469 - Added some "test_*" commands
470
471 * Various other changes
472 - Added %D and %c select operators
473 - Added support for labels in yosys scripts
474 - Added support for here-documents in yosys scripts
475 - Support "+/" prefix for files from proc_share_dir
476 - Added "autoidx" statement to ilang language
477 - Switched from "yosys-svgviewer" to "xdot"
478 - Renamed "stdcells.v" to "techmap.v"
479 - Various bug fixes and small improvements
480 - Improved welcome and bye messages
481
482
483 Yosys 0.2.0 .. Yosys 0.3.0
484 --------------------------
485
486 * Driver program and overall behavior:
487 - Added "design -push" and "design -pop"
488 - Added "tee" command for redirecting log output
489
490 * Changes in the internal cell library:
491 - Added $dlatchsr and $_DLATCHSR_???_ cell types
492
493 * Improvements in Verilog frontend:
494 - Improved support for const functions (case, always, repeat)
495 - The generate..endgenerate keywords are now optional
496 - Added support for arrays of module instances
497 - Added support for "`default_nettype" directive
498 - Added support for "`line" directive
499
500 * Other front- and back-ends:
501 - Various changes to "write_blif" options
502 - Various improvements in EDIF backend
503 - Added "vhdl2verilog" pseudo-front-end
504 - Added "verific" pseudo-front-end
505
506 * Improvements in technology mapping:
507 - Added support for recursive techmap
508 - Added CONSTMSK and CONSTVAL features to techmap
509 - Added _TECHMAP_CONNMAP_*_ feature to techmap
510 - Added _TECHMAP_REPLACE_ feature to techmap
511 - Added "connwrappers" command for wrap-extract-unwrap method
512 - Added "extract -map %<design_name>" feature
513 - Added "extract -ignore_param ..." and "extract -ignore_parameters"
514 - Added "techmap -max_iter" option
515
516 * Improvements to "eval" and "sat" framework:
517 - Now include a copy of Minisat (with build fixes applied)
518 - Switched to Minisat::SimpSolver as SAT back-end
519 - Added "sat -dump_vcd" feature
520 - Added "sat -dump_cnf" feature
521 - Added "sat -initsteps <N>" feature
522 - Added "freduce -stop <N>" feature
523 - Added "freduce -dump <prefix>" feature
524
525 * Integration with ABC:
526 - Updated ABC rev to 7600ffb9340c
527
528 * Improvements in the internal APIs:
529 - Added RTLIL::Module::add... helper methods
530 - Various build fixes for OSX (Darwin) and OpenBSD
531
532
533 Yosys 0.1.0 .. Yosys 0.2.0
534 --------------------------
535
536 * Changes to the driver program:
537 - Added "yosys -h" and "yosys -H"
538 - Added support for backslash line continuation in scripts
539 - Added support for #-comments in same line as command
540 - Added "echo" and "log" commands
541
542 * Improvements in Verilog frontend:
543 - Added support for local registers in named blocks
544 - Added support for "case" in "generate" blocks
545 - Added support for $clog2 system function
546 - Added support for basic SystemVerilog assert statements
547 - Added preprocessor support for macro arguments
548 - Added preprocessor support for `elsif statement
549 - Added "verilog_defaults" command
550 - Added read_verilog -icells option
551 - Added support for constant sizes from parameters
552 - Added "read_verilog -setattr"
553 - Added support for function returning 'integer'
554 - Added limited support for function calls in parameter values
555 - Added "read_verilog -defer" to suppress evaluation of modules with default parameters
556
557 * Other front- and back-ends:
558 - Added BTOR backend
559 - Added Liberty frontend
560
561 * Improvements in technology mapping:
562 - The "dfflibmap" command now strongly prefers solutions with
563 no inverters in clock paths
564 - The "dfflibmap" command now prefers cells with smaller area
565 - Added support for multiple -map options to techmap
566 - Added "dfflibmap" support for //-comments in liberty files
567 - Added "memory_unpack" command to revert "memory_collect"
568 - Added standard techmap rule "techmap -share_map pmux2mux.v"
569 - Added "iopadmap -bits"
570 - Added "setundef" command
571 - Added "hilomap" command
572
573 * Changes in the internal cell library:
574 - Major rewrite of simlib.v for better compatibility with other tools
575 - Added PRIORITY parameter to $memwr cells
576 - Added TRANSPARENT parameter to $memrd cells
577 - Added RD_TRANSPARENT parameter to $mem cells
578 - Added $bu0 cell (always 0-extend, even undef MSB)
579 - Added $assert cell type
580 - Added $slice and $concat cell types
581
582 * Integration with ABC:
583 - Updated ABC to hg rev 2058c8ccea68
584 - Tighter integration of ABC build with Yosys build. The make
585 targets 'make abc' and 'make install-abc' are now obsolete.
586 - Added support for passing FFs from one clock domain through ABC
587 - Now always use BLIF as exchange format with ABC
588 - Added support for "abc -script +<command_sequence>"
589 - Improved standard ABC recipe
590 - Added support for "keep" attribute to abc command
591 - Added "abc -dff / -clk / -keepff" options
592
593 * Improvements to "eval" and "sat" framework:
594 - Added support for "0" and "~0" in right-hand side -set expressions
595 - Added "eval -set-undef" and "eval -table"
596 - Added "sat -set-init" and "sat -set-init-*" for sequential problems
597 - Added undef support to SAT solver, incl. various new "sat" options
598 - Added correct support for === and !== for "eval" and "sat"
599 - Added "sat -tempinduct" (default -seq is now non-induction sequential)
600 - Added "sat -prove-asserts"
601 - Complete rewrite of the 'freduce' command
602 - Added "miter" command
603 - Added "sat -show-inputs" and "sat -show-outputs"
604 - Added "sat -ignore_unknown_cells" (now produce an error by default)
605 - Added "sat -falsify"
606 - Now "sat -verify" and "sat -falsify" can also be used without "-prove"
607 - Added "expose" command
608 - Added support for @<sel_name> to sat and eval signal expressions
609
610 * Changes in the 'make test' framework and auxiliary test tools:
611 - Added autotest.sh -p and -f options
612 - Replaced autotest.sh ISIM support with XSIM support
613 - Added test cases for SAT framework
614
615 * Added "abbreviated IDs":
616 - Now $<something>$foo can be abbreviated as $foo.
617 - Usually this last part is a unique id (from RTLIL::autoidx)
618 - This abbreviated IDs are now also used in "show" output
619
620 * Other changes to selection framework:
621 - Now */ is optional in */<mode>:<arg> expressions
622 - Added "select -assert-none" and "select -assert-any"
623 - Added support for matching modules by attribute (A:<expr>)
624 - Added "select -none"
625 - Added support for r:<expr> pattern for matching cell parameters
626 - Added support for !=, <, <=, >=, > for attribute and parameter matching
627 - Added support for %s for selecting sub-modules
628 - Added support for %m for expanding selections to whole modules
629 - Added support for i:*, o:* and x:* pattern for selecting module ports
630 - Added support for s:<expr> pattern for matching wire width
631 - Added support for %a operation to select wire aliases
632
633 * Various other changes to commands and options:
634 - The "ls" command now supports wildcards
635 - Added "show -pause" and "show -format dot"
636 - Added "show -color" support for cells
637 - Added "show -label" and "show -notitle"
638 - Added "dump -m" and "dump -n"
639 - Added "history" command
640 - Added "rename -hide"
641 - Added "connect" command
642 - Added "splitnets -driver"
643 - Added "opt_const -mux_undef"
644 - Added "opt_const -mux_bool"
645 - Added "opt_const -undriven"
646 - Added "opt -mux_undef -mux_bool -undriven -purge"
647 - Added "hierarchy -libdir"
648 - Added "hierarchy -purge_lib" (by default now do not remove lib cells)
649 - Added "delete" command
650 - Added "dump -append"
651 - Added "setattr" and "setparam" commands
652 - Added "design -stash/-copy-from/-copy-to"
653 - Added "copy" command
654 - Added "splice" command
655