Merge pull request #1251 from YosysHQ/clifford/nmux
[yosys.git] / CHANGELOG
1
2 List of major changes and improvements between releases
3 =======================================================
4
5
6 Yosys 0.9 .. Yosys 0.9-dev
7 --------------------------
8
9 * Various
10 - Added "write_xaiger" backend
11 - Added "abc9" pass for timing-aware techmapping (experimental, FPGA only, no FFs)
12 - Added "synth_xilinx -abc9" (experimental)
13 - Added "synth_ice40 -abc9" (experimental)
14 - Added "synth -abc9" (experimental)
15 - Added "script -scriptwire
16 - "synth_xilinx" to now infer wide multiplexers (-widemux <min> to enable)
17 - Added automatic gzip decompression for frontends
18 - Added $_NMUX_ cell type
19
20 Yosys 0.8 .. Yosys 0.8-dev
21 --------------------------
22
23 * Various
24 - Added $changed support to read_verilog
25 - Added "write_edif -attrprop"
26 - Added "ice40_unlut" pass
27 - Added "opt_lut" pass
28 - Added "synth_ice40 -relut"
29 - Added "synth_ice40 -noabc"
30 - Added "gate2lut.v" techmap rule
31 - Added "rename -src"
32 - Added "equiv_opt" pass
33 - Added "shregmap -tech xilinx"
34 - Added "read_aiger" frontend
35 - Added "muxcover -mux{4,8,16}=<cost>"
36 - Added "muxcover -dmux=<cost>"
37 - Added "muxcover -nopartial"
38 - Added "muxpack" pass
39 - Added "pmux2shiftx -norange"
40 - Added "synth_xilinx -nocarry"
41 - Added "synth_xilinx -nowidelut"
42 - Added "synth_ecp5 -nowidelut"
43 - "synth_xilinx" to now infer hard shift registers (-nosrl to disable)
44 - Fixed sign extension of unsized constants with 'bx and 'bz MSB
45
46
47 Yosys 0.7 .. Yosys 0.8
48 ----------------------
49
50 * Various
51 - Many bugfixes and small improvements
52 - Strip debug symbols from installed binary
53 - Replace -ignore_redef with -[no]overwrite in front-ends
54 - Added write_verilog hex dump support, add -nohex option
55 - Added "write_verilog -decimal"
56 - Added "scc -set_attr"
57 - Added "verilog_defines" command
58 - Remember defines from one read_verilog to next
59 - Added support for hierarchical defparam
60 - Added FIRRTL back-end
61 - Improved ABC default scripts
62 - Added "design -reset-vlog"
63 - Added "yosys -W regex", "yosys -w regex", and "yosys -e regex"
64 - Added Verilog $rtoi and $itor support
65 - Added "check -initdrv"
66 - Added "read_blif -wideports"
67 - Added support for SystemVerilog "++" and "--" operators
68 - Added support for SystemVerilog unique, unique0, and priority case
69 - Added "write_edif" options for edif "flavors"
70 - Added support for resetall compiler directive
71 - Added simple C beck-end (bitwise combinatorical only atm)
72 - Added $_ANDNOT_ and $_ORNOT_ cell types
73 - Added cell library aliases to "abc -g"
74 - Added "setundef -anyseq"
75 - Added "chtype" command
76 - Added "design -import"
77 - Added "write_table" command
78 - Added "read_json" command
79 - Added "sim" command
80 - Added "extract_fa" and "extract_reduce" commands
81 - Added "extract_counter" command
82 - Added "opt_demorgan" command
83 - Added support for $size and $bits SystemVerilog functions
84 - Added "blackbox" command
85 - Added "ltp" command
86 - Added support for editline as replacement for readline
87 - Added warnings for driver-driver conflicts between FFs (and other cells) and constants
88 - Added "yosys -E" for creating Makefile dependencies files
89 - Added "synth -noshare"
90 - Added "memory_nordff"
91 - Added "setundef -undef -expose -anyconst"
92 - Added "expose -input"
93 - Added specify/specparam parser support (simply ignore them)
94 - Added "write_blif -inames -iattr"
95 - Added "hierarchy -simcheck"
96 - Added an option to statically link abc into yosys
97 - Added protobuf back-end
98 - Added BLIF parsing support for .conn and .cname
99 - Added read_verilog error checking for reg/wire/logic misuse
100 - Added "make coverage" and ENABLE_GCOV build option
101
102 * Changes in Yosys APIs
103 - Added ConstEval defaultval feature
104 - Added {get,set}_src_attribute() methods on RTLIL::AttrObject
105 - Added SigSpec::is_fully_ones() and Const::is_fully_ones()
106 - Added log_file_warning() and log_file_error() functions
107
108 * Formal Verification
109 - Added "write_aiger"
110 - Added "yosys-smtbmc --aig"
111 - Added "always <positive_int>" to .smtc format
112 - Added $cover cell type and support for cover properties
113 - Added $fair/$live cell type and support for liveness properties
114 - Added smtbmc support for memory vcd dumping
115 - Added "chformal" command
116 - Added "write_smt2 -stbv" and "write_smt2 -stdt"
117 - Fix equiv_simple, old behavior now available with "equiv_simple -short"
118 - Change to Yices2 as default SMT solver (it is GPL now)
119 - Added "yosys-smtbmc --presat" (now default in SymbiYosys)
120 - Added "yosys-smtbmc --smtc-init --smtc-top --noinit"
121 - Added a brand new "write_btor" command for BTOR2
122 - Added clk2fflogic memory support and other improvements
123 - Added "async memory write" support to write_smt2
124 - Simulate clock toggling in yosys-smtbmc VCD output
125 - Added $allseq/$allconst cells for EA-solving
126 - Make -nordff the default in "prep"
127 - Added (* gclk *) attribute
128 - Added "async2sync" pass for single-clock designs with async resets
129
130 * Verific support
131 - Many improvements in Verific front-end
132 - Added proper handling of concurent SVA properties
133 - Map "const" and "rand const" to $anyseq/$anyconst
134 - Added "verific -import -flatten" and "verific -import -extnets"
135 - Added "verific -vlog-incdir -vlog-define -vlog-libdir"
136 - Remove PSL support (because PSL has been removed in upstream Verific)
137 - Improve integration with "hierarchy" command design elaboration
138 - Added YOSYS_NOVERIFIC for running non-verific test cases with verific bin
139 - Added simpilied "read" command that automatically uses verific if available
140 - Added "verific -set-<severity> <msg_id>.."
141 - Added "verific -work <libname>"
142
143 * New back-ends
144 - Added initial Coolrunner-II support
145 - Added initial eASIC support
146 - Added initial ECP5 support
147
148 * GreenPAK Support
149 - Added support for GP_DLATCH, GP_SPI, GP_DCMx, GP_COUNTx, etc.
150
151 * iCE40 Support
152 - Add "synth_ice40 -vpr"
153 - Add "synth_ice40 -nodffe"
154 - Add "synth_ice40 -json"
155 - Add Support for UltraPlus cells
156
157 * MAX10 and Cyclone IV Support
158 - Added initial version of metacommand "synth_intel".
159 - Improved write_verilog command to produce VQM netlist for Quartus Prime.
160 - Added support for MAX10 FPGA family synthesis.
161 - Added support for Cyclone IV family synthesis.
162 - Added example of implementation for DE2i-150 board.
163 - Added example of implementation for MAX10 development kit.
164 - Added LFSR example from Asic World.
165 - Added "dffinit -highlow" for mapping to Intel primitives
166
167
168 Yosys 0.6 .. Yosys 0.7
169 ----------------------
170
171 * Various
172 - Added "yosys -D" feature
173 - Added support for installed plugins in $(DATDIR)/plugins/
174 - Renamed opt_const to opt_expr
175 - Renamed opt_share to opt_merge
176 - Added "prep -flatten" and "synth -flatten"
177 - Added "prep -auto-top" and "synth -auto-top"
178 - Using "mfs" and "lutpack" in ABC lut mapping
179 - Support for abstract modules in chparam
180 - Cleanup abstract modules at end of "hierarchy -top"
181 - Added tristate buffer support to iopadmap
182 - Added opt_expr support for div/mod by power-of-two
183 - Added "select -assert-min <N> -assert-max <N>"
184 - Added "attrmvcp" pass
185 - Added "attrmap" command
186 - Added "tee +INT -INT"
187 - Added "zinit" pass
188 - Added "setparam -type"
189 - Added "shregmap" pass
190 - Added "setundef -init"
191 - Added "nlutmap -assert"
192 - Added $sop cell type and "abc -sop -I <num> -P <num>"
193 - Added "dc2" to default ABC scripts
194 - Added "deminout"
195 - Added "insbuf" command
196 - Added "prep -nomem"
197 - Added "opt_rmdff -keepdc"
198 - Added "prep -nokeepdc"
199 - Added initial version of "synth_gowin"
200 - Added "fsm_expand -full"
201 - Added support for fsm_encoding="user"
202 - Many improvements in GreenPAK4 support
203 - Added black box modules for all Xilinx 7-series lib cells
204 - Added synth_ice40 support for latches via logic loops
205 - Fixed ice40_opt lut unmapping, added "ice40_opt -unlut"
206
207 * Build System
208 - Added ABCEXTERNAL and ABCURL make variables
209 - Added BINDIR, LIBDIR, and DATDIR make variables
210 - Added PKG_CONFIG make variable
211 - Added SEED make variable (for "make test")
212 - Added YOSYS_VER_STR make variable
213 - Updated min GCC requirement to GCC 4.8
214 - Updated required Bison version to Bison 3.x
215
216 * Internal APIs
217 - Added ast.h to exported headers
218 - Added ScriptPass helper class for script-like passes
219 - Added CellEdgesDatabase API
220
221 * Front-ends and Back-ends
222 - Added filename glob support to all front-ends
223 - Added avail (black-box) module params to ilang format
224 - Added $display %m support
225 - Added support for $stop Verilog system task
226 - Added support for SystemVerilog packages
227 - Fixed procedural assignments to non-unique lvalues, e.g. {y,y} = {a,b}
228 - Added support for "active high" and "active low" latches in read_blif and write_blif
229 - Use init value "2" for all uninitialized FFs in BLIF back-end
230 - Added "read_blif -sop"
231 - Added "write_blif -noalias"
232 - Added various write_blif options for VTR support
233 - write_json: also write module attributes.
234 - Added "write_verilog -nodec -nostr -defparam"
235 - Added "read_verilog -norestrict -assume-asserts"
236 - Added support for bus interfaces to "read_liberty -lib"
237 - Added liberty parser support for types within cell decls
238 - Added "write_verilog -renameprefix -v"
239 - Added "write_edif -nogndvcc"
240
241 * Formal Verification
242 - Support for hierarchical designs in smt2 back-end
243 - Yosys-smtbmc: Support for hierarchical VCD dumping
244 - Added $initstate cell type and vlog function
245 - Added $anyconst and $anyseq cell types and vlog functions
246 - Added printing of code loc of failed asserts to yosys-smtbmc
247 - Added memory_memx pass, "memory -memx", and "prep -memx"
248 - Added "proc_mux -ifx"
249 - Added "yosys-smtbmc -g"
250 - Deprecated "write_smt2 -regs" (by default on now)
251 - Made "write_smt2 -bv -mem" default, added "write_smt2 -nobv -nomem"
252 - Added support for memories to smtio.py
253 - Added "yosys-smtbmc --dump-vlogtb"
254 - Added "yosys-smtbmc --smtc --dump-smtc"
255 - Added "yosys-smtbmc --dump-all"
256 - Added assertpmux command
257 - Added "yosys-smtbmc --unroll"
258 - Added $past, $stable, $rose, $fell SVA functions
259 - Added "yosys-smtbmc --noinfo and --dummy"
260 - Added "yosys-smtbmc --noincr"
261 - Added "yosys-smtbmc --cex <filename>"
262 - Added $ff and $_FF_ cell types
263 - Added $global_clock verilog syntax support for creating $ff cells
264 - Added clk2fflogic
265
266
267 Yosys 0.5 .. Yosys 0.6
268 ----------------------
269
270 * Various
271 - Added Contributor Covenant Code of Conduct
272 - Various improvements in dict<> and pool<>
273 - Added hashlib::mfp and refactored SigMap
274 - Improved support for reals as module parameters
275 - Various improvements in SMT2 back-end
276 - Added "keep_hierarchy" attribute
277 - Verilog front-end: define `BLACKBOX in -lib mode
278 - Added API for converting internal cells to AIGs
279 - Added ENABLE_LIBYOSYS Makefile option
280 - Removed "techmap -share_map" (use "-map +/filename" instead)
281 - Switched all Python scripts to Python 3
282 - Added support for $display()/$write() and $finish() to Verilog front-end
283 - Added "yosys-smtbmc" formal verification flow
284 - Added options for clang sanitizers to Makefile
285
286 * New commands and options
287 - Added "scc -expect <N> -nofeedback"
288 - Added "proc_dlatch"
289 - Added "check"
290 - Added "select %xe %cie %coe %M %C %R"
291 - Added "sat -dump_json" (WaveJSON format)
292 - Added "sat -tempinduct-baseonly -tempinduct-inductonly"
293 - Added "sat -stepsize" and "sat -tempinduct-step"
294 - Added "sat -show-regs -show-public -show-all"
295 - Added "write_json" (Native Yosys JSON format)
296 - Added "write_blif -attr"
297 - Added "dffinit"
298 - Added "chparam"
299 - Added "muxcover"
300 - Added "pmuxtree"
301 - Added memory_bram "make_outreg" feature
302 - Added "splice -wires"
303 - Added "dff2dffe -direct-match"
304 - Added simplemap $lut support
305 - Added "read_blif"
306 - Added "opt_share -share_all"
307 - Added "aigmap"
308 - Added "write_smt2 -mem -regs -wires"
309 - Added "memory -nordff"
310 - Added "write_smv"
311 - Added "synth -nordff -noalumacc"
312 - Added "rename -top new_name"
313 - Added "opt_const -clkinv"
314 - Added "synth -nofsm"
315 - Added "miter -assert"
316 - Added "read_verilog -noautowire"
317 - Added "read_verilog -nodpi"
318 - Added "tribuf"
319 - Added "lut2mux"
320 - Added "nlutmap"
321 - Added "qwp"
322 - Added "test_cell -noeval"
323 - Added "edgetypes"
324 - Added "equiv_struct"
325 - Added "equiv_purge"
326 - Added "equiv_mark"
327 - Added "equiv_add -try -cell"
328 - Added "singleton"
329 - Added "abc -g -luts"
330 - Added "torder"
331 - Added "write_blif -cname"
332 - Added "submod -copy"
333 - Added "dffsr2dff"
334 - Added "stat -liberty"
335
336 * Synthesis metacommands
337 - Various improvements in synth_xilinx
338 - Added synth_ice40 and synth_greenpak4
339 - Added "prep" metacommand for "synthesis lite"
340
341 * Cell library changes
342 - Added cell types to "help" system
343 - Added $meminit cell type
344 - Added $assume cell type
345 - Added $_MUX4_, $_MUX8_, and $_MUX16_ cells
346 - Added $tribuf and $_TBUF_ cell types
347 - Added read-enable to memory model
348
349 * YosysJS
350 - Various improvements in emscripten build
351 - Added alternative webworker-based JS API
352 - Added a few example applications
353
354
355 Yosys 0.4 .. Yosys 0.5
356 ----------------------
357
358 * API changes
359 - Added log_warning()
360 - Added eval_select_args() and eval_select_op()
361 - Added cell->known(), cell->input(portname), cell->output(portname)
362 - Skip blackbox modules in design->selected_modules()
363 - Replaced std::map<> and std::set<> with dict<> and pool<>
364 - New SigSpec::extend() is what used to be SigSpec::extend_u0()
365 - Added YS_OVERRIDE, YS_FINAL, YS_ATTRIBUTE, YS_NORETURN
366
367 * Cell library changes
368 - Added flip-flops with enable ($dffe etc.)
369 - Added $equiv cells for equivalence checking framework
370
371 * Various
372 - Updated ABC to hg rev 61ad5f908c03
373 - Added clock domain partitioning to ABC pass
374 - Improved plugin building (see "yosys-config --build")
375 - Added ENABLE_NDEBUG Makefile flag for high-performance builds
376 - Added "yosys -d", "yosys -L" and other driver improvements
377 - Added support for multi-bit (array) cell ports to "write_edif"
378 - Now printing most output to stdout, not stderr
379 - Added "onehot" attribute (set by "fsm_map")
380 - Various performance improvements
381 - Vastly improved Xilinx flow
382 - Added "make unsintall"
383
384 * Equivalence checking
385 - Added equivalence checking commands:
386 equiv_make equiv_simple equiv_status
387 equiv_induct equiv_miter
388 equiv_add equiv_remove
389
390 * Block RAM support:
391 - Added "memory_bram" command
392 - Added BRAM support to Xilinx flow
393
394 * Other New Commands and Options
395 - Added "dff2dffe"
396 - Added "fsm -encfile"
397 - Added "dfflibmap -prepare"
398 - Added "write_blid -unbuf -undef -blackbox"
399 - Added "write_smt2" for writing SMT-LIBv2 files
400 - Added "test_cell -w -muxdiv"
401 - Added "select -read"
402
403
404 Yosys 0.3.0 .. Yosys 0.4
405 ------------------------
406
407 * Platform Support
408 - Added support for mxe-based cross-builds for win32
409 - Added sourcecode-export as VisualStudio project
410 - Added experimental EMCC (JavaScript) support
411
412 * Verilog Frontend
413 - Added -sv option for SystemVerilog (and automatic *.sv file support)
414 - Added support for real-valued constants and constant expressions
415 - Added support for non-standard "via_celltype" attribute on task/func
416 - Added support for non-standard "module mod_name(...);" syntax
417 - Added support for non-standard """ macro bodies
418 - Added support for array with more than one dimension
419 - Added support for $readmemh and $readmemb
420 - Added support for DPI functions
421
422 * Changes in internal cell library
423 - Added $shift and $shiftx cell types
424 - Added $alu, $lcu, $fa and $macc cell types
425 - Removed $bu0 and $safe_pmux cell types
426 - $mem/$memwr WR_EN input is now a per-data-bit enable signal
427 - Added $_NAND_ $_NOR_ $_XNOR_ $_AOI3_ $_OAI3_ $_AOI4_ $_OAI4_
428 - Renamed ports of $lut cells (from I->O to A->Y)
429 - Renamed $_INV_ to $_NOT_
430
431 * Changes for simple synthesis flows
432 - There is now a "synth" command with a recommended default script
433 - Many improvements in synthesis of arithmetic functions to gates
434 - Multipliers and adders with many operands are using carry-save adder trees
435 - Remaining adders are now implemented using Brent-Kung carry look-ahead adders
436 - Various new high-level optimizations on RTL netlist
437 - Various improvements in FSM optimization
438 - Updated ABC to hg 5b5af75f1dda (from 2014-11-07)
439
440 * Changes in internal APIs and RTLIL
441 - Added log_id() and log_cell() helper functions
442 - Added function-like cell creation helpers
443 - Added GetSize() function (like .size() but with int)
444 - Major refactoring of RTLIL::Module and related classes
445 - Major refactoring of RTLIL::SigSpec and related classes
446 - Now RTLIL::IdString is essentially an int
447 - Added macros for code coverage counters
448 - Added some Makefile magic for pretty make logs
449 - Added "kernel/yosys.h" with all the core definitions
450 - Changed a lot of code from FILE* to c++ streams
451 - Added RTLIL::Monitor API and "trace" command
452 - Added "Yosys" C++ namespace
453
454 * Changes relevant to SAT solving
455 - Added ezSAT::keep_cnf() and ezSAT::non_incremental()
456 - Added native ezSAT support for vector shift ops
457 - Updated MiniSAT to git 37dc6c67e2 (from 2013-09-25)
458
459 * New commands (or large improvements to commands)
460 - Added "synth" command with default script
461 - Added "share" (finally some real resource sharing)
462 - Added "memory_share" (reduce number of ports on memories)
463 - Added "wreduce" and "alumacc" commands
464 - Added "opt -keepdc -fine -full -fast"
465 - Added some "test_*" commands
466
467 * Various other changes
468 - Added %D and %c select operators
469 - Added support for labels in yosys scripts
470 - Added support for here-documents in yosys scripts
471 - Support "+/" prefix for files from proc_share_dir
472 - Added "autoidx" statement to ilang language
473 - Switched from "yosys-svgviewer" to "xdot"
474 - Renamed "stdcells.v" to "techmap.v"
475 - Various bug fixes and small improvements
476 - Improved welcome and bye messages
477
478
479 Yosys 0.2.0 .. Yosys 0.3.0
480 --------------------------
481
482 * Driver program and overall behavior:
483 - Added "design -push" and "design -pop"
484 - Added "tee" command for redirecting log output
485
486 * Changes in the internal cell library:
487 - Added $dlatchsr and $_DLATCHSR_???_ cell types
488
489 * Improvements in Verilog frontend:
490 - Improved support for const functions (case, always, repeat)
491 - The generate..endgenerate keywords are now optional
492 - Added support for arrays of module instances
493 - Added support for "`default_nettype" directive
494 - Added support for "`line" directive
495
496 * Other front- and back-ends:
497 - Various changes to "write_blif" options
498 - Various improvements in EDIF backend
499 - Added "vhdl2verilog" pseudo-front-end
500 - Added "verific" pseudo-front-end
501
502 * Improvements in technology mapping:
503 - Added support for recursive techmap
504 - Added CONSTMSK and CONSTVAL features to techmap
505 - Added _TECHMAP_CONNMAP_*_ feature to techmap
506 - Added _TECHMAP_REPLACE_ feature to techmap
507 - Added "connwrappers" command for wrap-extract-unwrap method
508 - Added "extract -map %<design_name>" feature
509 - Added "extract -ignore_param ..." and "extract -ignore_parameters"
510 - Added "techmap -max_iter" option
511
512 * Improvements to "eval" and "sat" framework:
513 - Now include a copy of Minisat (with build fixes applied)
514 - Switched to Minisat::SimpSolver as SAT back-end
515 - Added "sat -dump_vcd" feature
516 - Added "sat -dump_cnf" feature
517 - Added "sat -initsteps <N>" feature
518 - Added "freduce -stop <N>" feature
519 - Added "freduce -dump <prefix>" feature
520
521 * Integration with ABC:
522 - Updated ABC rev to 7600ffb9340c
523
524 * Improvements in the internal APIs:
525 - Added RTLIL::Module::add... helper methods
526 - Various build fixes for OSX (Darwin) and OpenBSD
527
528
529 Yosys 0.1.0 .. Yosys 0.2.0
530 --------------------------
531
532 * Changes to the driver program:
533 - Added "yosys -h" and "yosys -H"
534 - Added support for backslash line continuation in scripts
535 - Added support for #-comments in same line as command
536 - Added "echo" and "log" commands
537
538 * Improvements in Verilog frontend:
539 - Added support for local registers in named blocks
540 - Added support for "case" in "generate" blocks
541 - Added support for $clog2 system function
542 - Added support for basic SystemVerilog assert statements
543 - Added preprocessor support for macro arguments
544 - Added preprocessor support for `elsif statement
545 - Added "verilog_defaults" command
546 - Added read_verilog -icells option
547 - Added support for constant sizes from parameters
548 - Added "read_verilog -setattr"
549 - Added support for function returning 'integer'
550 - Added limited support for function calls in parameter values
551 - Added "read_verilog -defer" to suppress evaluation of modules with default parameters
552
553 * Other front- and back-ends:
554 - Added BTOR backend
555 - Added Liberty frontend
556
557 * Improvements in technology mapping:
558 - The "dfflibmap" command now strongly prefers solutions with
559 no inverters in clock paths
560 - The "dfflibmap" command now prefers cells with smaller area
561 - Added support for multiple -map options to techmap
562 - Added "dfflibmap" support for //-comments in liberty files
563 - Added "memory_unpack" command to revert "memory_collect"
564 - Added standard techmap rule "techmap -share_map pmux2mux.v"
565 - Added "iopadmap -bits"
566 - Added "setundef" command
567 - Added "hilomap" command
568
569 * Changes in the internal cell library:
570 - Major rewrite of simlib.v for better compatibility with other tools
571 - Added PRIORITY parameter to $memwr cells
572 - Added TRANSPARENT parameter to $memrd cells
573 - Added RD_TRANSPARENT parameter to $mem cells
574 - Added $bu0 cell (always 0-extend, even undef MSB)
575 - Added $assert cell type
576 - Added $slice and $concat cell types
577
578 * Integration with ABC:
579 - Updated ABC to hg rev 2058c8ccea68
580 - Tighter integration of ABC build with Yosys build. The make
581 targets 'make abc' and 'make install-abc' are now obsolete.
582 - Added support for passing FFs from one clock domain through ABC
583 - Now always use BLIF as exchange format with ABC
584 - Added support for "abc -script +<command_sequence>"
585 - Improved standard ABC recipe
586 - Added support for "keep" attribute to abc command
587 - Added "abc -dff / -clk / -keepff" options
588
589 * Improvements to "eval" and "sat" framework:
590 - Added support for "0" and "~0" in right-hand side -set expressions
591 - Added "eval -set-undef" and "eval -table"
592 - Added "sat -set-init" and "sat -set-init-*" for sequential problems
593 - Added undef support to SAT solver, incl. various new "sat" options
594 - Added correct support for === and !== for "eval" and "sat"
595 - Added "sat -tempinduct" (default -seq is now non-induction sequential)
596 - Added "sat -prove-asserts"
597 - Complete rewrite of the 'freduce' command
598 - Added "miter" command
599 - Added "sat -show-inputs" and "sat -show-outputs"
600 - Added "sat -ignore_unknown_cells" (now produce an error by default)
601 - Added "sat -falsify"
602 - Now "sat -verify" and "sat -falsify" can also be used without "-prove"
603 - Added "expose" command
604 - Added support for @<sel_name> to sat and eval signal expressions
605
606 * Changes in the 'make test' framework and auxiliary test tools:
607 - Added autotest.sh -p and -f options
608 - Replaced autotest.sh ISIM support with XSIM support
609 - Added test cases for SAT framework
610
611 * Added "abbreviated IDs":
612 - Now $<something>$foo can be abbreviated as $foo.
613 - Usually this last part is a unique id (from RTLIL::autoidx)
614 - This abbreviated IDs are now also used in "show" output
615
616 * Other changes to selection framework:
617 - Now */ is optional in */<mode>:<arg> expressions
618 - Added "select -assert-none" and "select -assert-any"
619 - Added support for matching modules by attribute (A:<expr>)
620 - Added "select -none"
621 - Added support for r:<expr> pattern for matching cell parameters
622 - Added support for !=, <, <=, >=, > for attribute and parameter matching
623 - Added support for %s for selecting sub-modules
624 - Added support for %m for expanding selections to whole modules
625 - Added support for i:*, o:* and x:* pattern for selecting module ports
626 - Added support for s:<expr> pattern for matching wire width
627 - Added support for %a operation to select wire aliases
628
629 * Various other changes to commands and options:
630 - The "ls" command now supports wildcards
631 - Added "show -pause" and "show -format dot"
632 - Added "show -color" support for cells
633 - Added "show -label" and "show -notitle"
634 - Added "dump -m" and "dump -n"
635 - Added "history" command
636 - Added "rename -hide"
637 - Added "connect" command
638 - Added "splitnets -driver"
639 - Added "opt_const -mux_undef"
640 - Added "opt_const -mux_bool"
641 - Added "opt_const -undriven"
642 - Added "opt -mux_undef -mux_bool -undriven -purge"
643 - Added "hierarchy -libdir"
644 - Added "hierarchy -purge_lib" (by default now do not remove lib cells)
645 - Added "delete" command
646 - Added "dump -append"
647 - Added "setattr" and "setparam" commands
648 - Added "design -stash/-copy-from/-copy-to"
649 - Added "copy" command
650 - Added "splice" command
651