Add pmgen slices and choices
[yosys.git] / CHANGELOG
1
2 List of major changes and improvements between releases
3 =======================================================
4
5
6 Yosys 0.9 .. Yosys 0.9-dev
7 --------------------------
8
9 * Various
10 - Added "write_xaiger" backend
11 - Added "abc9" pass for timing-aware techmapping (experimental, FPGA only, no FFs)
12 - Added "synth_xilinx -abc9" (experimental)
13 - Added "synth_ice40 -abc9" (experimental)
14 - Added "synth -abc9" (experimental)
15 - Added "script -scriptwire
16 - "synth_xilinx" to now infer wide multiplexers (-widemux <min> to enable)
17 - Renamed labels/options in synth_ice40 (e.g. dram -> map_lutram; -nodram -> -nolutram)
18 - Renamed labels/options in synth_ecp5 (e.g. dram -> map_lutram; -nodram -> -nolutram)
19 - Renamed labels in synth_intel (e.g. bram -> map_bram)
20 - Renamed labels/options in synth_xilinx (e.g. dram -> map_lutram; -nodram -> -nolutram)
21 - Added automatic gzip decompression for frontends
22 - Added $_NMUX_ cell type
23 - Added automatic gzip compression (based on filename extension) for backends
24 - Improve attribute and parameter encoding in JSON to avoid ambiguities between
25 bit vectors and strings containing [01xz]*
26 - Improvements in pmgen: subpattern and recursive matches
27 - Added "opt_share" pass, run as part of "opt -full"
28 - Added "ice40_wrapcarry" to encapsulate SB_LUT+SB_CARRY pairs for techmapping
29 - Removed "ice40_unlut"
30 - Improvements in pmgen: slices, choices, define, generate
31
32 Yosys 0.8 .. Yosys 0.8-dev
33 --------------------------
34
35 * Various
36 - Added $changed support to read_verilog
37 - Added "write_edif -attrprop"
38 - Added "ice40_unlut" pass
39 - Added "opt_lut" pass
40 - Added "synth_ice40 -relut"
41 - Added "synth_ice40 -noabc"
42 - Added "gate2lut.v" techmap rule
43 - Added "rename -src"
44 - Added "equiv_opt" pass
45 - Added "shregmap -tech xilinx"
46 - Added "read_aiger" frontend
47 - Added "muxcover -mux{4,8,16}=<cost>"
48 - Added "muxcover -dmux=<cost>"
49 - Added "muxcover -nopartial"
50 - Added "muxpack" pass
51 - Added "pmux2shiftx -norange"
52 - Added "synth_xilinx -nocarry"
53 - Added "synth_xilinx -nowidelut"
54 - Added "synth_ecp5 -nowidelut"
55 - "synth_xilinx" to now infer hard shift registers (-nosrl to disable)
56 - Fixed sign extension of unsized constants with 'bx and 'bz MSB
57
58
59 Yosys 0.7 .. Yosys 0.8
60 ----------------------
61
62 * Various
63 - Many bugfixes and small improvements
64 - Strip debug symbols from installed binary
65 - Replace -ignore_redef with -[no]overwrite in front-ends
66 - Added write_verilog hex dump support, add -nohex option
67 - Added "write_verilog -decimal"
68 - Added "scc -set_attr"
69 - Added "verilog_defines" command
70 - Remember defines from one read_verilog to next
71 - Added support for hierarchical defparam
72 - Added FIRRTL back-end
73 - Improved ABC default scripts
74 - Added "design -reset-vlog"
75 - Added "yosys -W regex", "yosys -w regex", and "yosys -e regex"
76 - Added Verilog $rtoi and $itor support
77 - Added "check -initdrv"
78 - Added "read_blif -wideports"
79 - Added support for SystemVerilog "++" and "--" operators
80 - Added support for SystemVerilog unique, unique0, and priority case
81 - Added "write_edif" options for edif "flavors"
82 - Added support for resetall compiler directive
83 - Added simple C beck-end (bitwise combinatorical only atm)
84 - Added $_ANDNOT_ and $_ORNOT_ cell types
85 - Added cell library aliases to "abc -g"
86 - Added "setundef -anyseq"
87 - Added "chtype" command
88 - Added "design -import"
89 - Added "write_table" command
90 - Added "read_json" command
91 - Added "sim" command
92 - Added "extract_fa" and "extract_reduce" commands
93 - Added "extract_counter" command
94 - Added "opt_demorgan" command
95 - Added support for $size and $bits SystemVerilog functions
96 - Added "blackbox" command
97 - Added "ltp" command
98 - Added support for editline as replacement for readline
99 - Added warnings for driver-driver conflicts between FFs (and other cells) and constants
100 - Added "yosys -E" for creating Makefile dependencies files
101 - Added "synth -noshare"
102 - Added "memory_nordff"
103 - Added "setundef -undef -expose -anyconst"
104 - Added "expose -input"
105 - Added specify/specparam parser support (simply ignore them)
106 - Added "write_blif -inames -iattr"
107 - Added "hierarchy -simcheck"
108 - Added an option to statically link abc into yosys
109 - Added protobuf back-end
110 - Added BLIF parsing support for .conn and .cname
111 - Added read_verilog error checking for reg/wire/logic misuse
112 - Added "make coverage" and ENABLE_GCOV build option
113
114 * Changes in Yosys APIs
115 - Added ConstEval defaultval feature
116 - Added {get,set}_src_attribute() methods on RTLIL::AttrObject
117 - Added SigSpec::is_fully_ones() and Const::is_fully_ones()
118 - Added log_file_warning() and log_file_error() functions
119
120 * Formal Verification
121 - Added "write_aiger"
122 - Added "yosys-smtbmc --aig"
123 - Added "always <positive_int>" to .smtc format
124 - Added $cover cell type and support for cover properties
125 - Added $fair/$live cell type and support for liveness properties
126 - Added smtbmc support for memory vcd dumping
127 - Added "chformal" command
128 - Added "write_smt2 -stbv" and "write_smt2 -stdt"
129 - Fix equiv_simple, old behavior now available with "equiv_simple -short"
130 - Change to Yices2 as default SMT solver (it is GPL now)
131 - Added "yosys-smtbmc --presat" (now default in SymbiYosys)
132 - Added "yosys-smtbmc --smtc-init --smtc-top --noinit"
133 - Added a brand new "write_btor" command for BTOR2
134 - Added clk2fflogic memory support and other improvements
135 - Added "async memory write" support to write_smt2
136 - Simulate clock toggling in yosys-smtbmc VCD output
137 - Added $allseq/$allconst cells for EA-solving
138 - Make -nordff the default in "prep"
139 - Added (* gclk *) attribute
140 - Added "async2sync" pass for single-clock designs with async resets
141
142 * Verific support
143 - Many improvements in Verific front-end
144 - Added proper handling of concurent SVA properties
145 - Map "const" and "rand const" to $anyseq/$anyconst
146 - Added "verific -import -flatten" and "verific -import -extnets"
147 - Added "verific -vlog-incdir -vlog-define -vlog-libdir"
148 - Remove PSL support (because PSL has been removed in upstream Verific)
149 - Improve integration with "hierarchy" command design elaboration
150 - Added YOSYS_NOVERIFIC for running non-verific test cases with verific bin
151 - Added simpilied "read" command that automatically uses verific if available
152 - Added "verific -set-<severity> <msg_id>.."
153 - Added "verific -work <libname>"
154
155 * New back-ends
156 - Added initial Coolrunner-II support
157 - Added initial eASIC support
158 - Added initial ECP5 support
159
160 * GreenPAK Support
161 - Added support for GP_DLATCH, GP_SPI, GP_DCMx, GP_COUNTx, etc.
162
163 * iCE40 Support
164 - Add "synth_ice40 -vpr"
165 - Add "synth_ice40 -nodffe"
166 - Add "synth_ice40 -json"
167 - Add Support for UltraPlus cells
168
169 * MAX10 and Cyclone IV Support
170 - Added initial version of metacommand "synth_intel".
171 - Improved write_verilog command to produce VQM netlist for Quartus Prime.
172 - Added support for MAX10 FPGA family synthesis.
173 - Added support for Cyclone IV family synthesis.
174 - Added example of implementation for DE2i-150 board.
175 - Added example of implementation for MAX10 development kit.
176 - Added LFSR example from Asic World.
177 - Added "dffinit -highlow" for mapping to Intel primitives
178
179
180 Yosys 0.6 .. Yosys 0.7
181 ----------------------
182
183 * Various
184 - Added "yosys -D" feature
185 - Added support for installed plugins in $(DATDIR)/plugins/
186 - Renamed opt_const to opt_expr
187 - Renamed opt_share to opt_merge
188 - Added "prep -flatten" and "synth -flatten"
189 - Added "prep -auto-top" and "synth -auto-top"
190 - Using "mfs" and "lutpack" in ABC lut mapping
191 - Support for abstract modules in chparam
192 - Cleanup abstract modules at end of "hierarchy -top"
193 - Added tristate buffer support to iopadmap
194 - Added opt_expr support for div/mod by power-of-two
195 - Added "select -assert-min <N> -assert-max <N>"
196 - Added "attrmvcp" pass
197 - Added "attrmap" command
198 - Added "tee +INT -INT"
199 - Added "zinit" pass
200 - Added "setparam -type"
201 - Added "shregmap" pass
202 - Added "setundef -init"
203 - Added "nlutmap -assert"
204 - Added $sop cell type and "abc -sop -I <num> -P <num>"
205 - Added "dc2" to default ABC scripts
206 - Added "deminout"
207 - Added "insbuf" command
208 - Added "prep -nomem"
209 - Added "opt_rmdff -keepdc"
210 - Added "prep -nokeepdc"
211 - Added initial version of "synth_gowin"
212 - Added "fsm_expand -full"
213 - Added support for fsm_encoding="user"
214 - Many improvements in GreenPAK4 support
215 - Added black box modules for all Xilinx 7-series lib cells
216 - Added synth_ice40 support for latches via logic loops
217 - Fixed ice40_opt lut unmapping, added "ice40_opt -unlut"
218
219 * Build System
220 - Added ABCEXTERNAL and ABCURL make variables
221 - Added BINDIR, LIBDIR, and DATDIR make variables
222 - Added PKG_CONFIG make variable
223 - Added SEED make variable (for "make test")
224 - Added YOSYS_VER_STR make variable
225 - Updated min GCC requirement to GCC 4.8
226 - Updated required Bison version to Bison 3.x
227
228 * Internal APIs
229 - Added ast.h to exported headers
230 - Added ScriptPass helper class for script-like passes
231 - Added CellEdgesDatabase API
232
233 * Front-ends and Back-ends
234 - Added filename glob support to all front-ends
235 - Added avail (black-box) module params to ilang format
236 - Added $display %m support
237 - Added support for $stop Verilog system task
238 - Added support for SystemVerilog packages
239 - Fixed procedural assignments to non-unique lvalues, e.g. {y,y} = {a,b}
240 - Added support for "active high" and "active low" latches in read_blif and write_blif
241 - Use init value "2" for all uninitialized FFs in BLIF back-end
242 - Added "read_blif -sop"
243 - Added "write_blif -noalias"
244 - Added various write_blif options for VTR support
245 - write_json: also write module attributes.
246 - Added "write_verilog -nodec -nostr -defparam"
247 - Added "read_verilog -norestrict -assume-asserts"
248 - Added support for bus interfaces to "read_liberty -lib"
249 - Added liberty parser support for types within cell decls
250 - Added "write_verilog -renameprefix -v"
251 - Added "write_edif -nogndvcc"
252
253 * Formal Verification
254 - Support for hierarchical designs in smt2 back-end
255 - Yosys-smtbmc: Support for hierarchical VCD dumping
256 - Added $initstate cell type and vlog function
257 - Added $anyconst and $anyseq cell types and vlog functions
258 - Added printing of code loc of failed asserts to yosys-smtbmc
259 - Added memory_memx pass, "memory -memx", and "prep -memx"
260 - Added "proc_mux -ifx"
261 - Added "yosys-smtbmc -g"
262 - Deprecated "write_smt2 -regs" (by default on now)
263 - Made "write_smt2 -bv -mem" default, added "write_smt2 -nobv -nomem"
264 - Added support for memories to smtio.py
265 - Added "yosys-smtbmc --dump-vlogtb"
266 - Added "yosys-smtbmc --smtc --dump-smtc"
267 - Added "yosys-smtbmc --dump-all"
268 - Added assertpmux command
269 - Added "yosys-smtbmc --unroll"
270 - Added $past, $stable, $rose, $fell SVA functions
271 - Added "yosys-smtbmc --noinfo and --dummy"
272 - Added "yosys-smtbmc --noincr"
273 - Added "yosys-smtbmc --cex <filename>"
274 - Added $ff and $_FF_ cell types
275 - Added $global_clock verilog syntax support for creating $ff cells
276 - Added clk2fflogic
277
278
279 Yosys 0.5 .. Yosys 0.6
280 ----------------------
281
282 * Various
283 - Added Contributor Covenant Code of Conduct
284 - Various improvements in dict<> and pool<>
285 - Added hashlib::mfp and refactored SigMap
286 - Improved support for reals as module parameters
287 - Various improvements in SMT2 back-end
288 - Added "keep_hierarchy" attribute
289 - Verilog front-end: define `BLACKBOX in -lib mode
290 - Added API for converting internal cells to AIGs
291 - Added ENABLE_LIBYOSYS Makefile option
292 - Removed "techmap -share_map" (use "-map +/filename" instead)
293 - Switched all Python scripts to Python 3
294 - Added support for $display()/$write() and $finish() to Verilog front-end
295 - Added "yosys-smtbmc" formal verification flow
296 - Added options for clang sanitizers to Makefile
297
298 * New commands and options
299 - Added "scc -expect <N> -nofeedback"
300 - Added "proc_dlatch"
301 - Added "check"
302 - Added "select %xe %cie %coe %M %C %R"
303 - Added "sat -dump_json" (WaveJSON format)
304 - Added "sat -tempinduct-baseonly -tempinduct-inductonly"
305 - Added "sat -stepsize" and "sat -tempinduct-step"
306 - Added "sat -show-regs -show-public -show-all"
307 - Added "write_json" (Native Yosys JSON format)
308 - Added "write_blif -attr"
309 - Added "dffinit"
310 - Added "chparam"
311 - Added "muxcover"
312 - Added "pmuxtree"
313 - Added memory_bram "make_outreg" feature
314 - Added "splice -wires"
315 - Added "dff2dffe -direct-match"
316 - Added simplemap $lut support
317 - Added "read_blif"
318 - Added "opt_share -share_all"
319 - Added "aigmap"
320 - Added "write_smt2 -mem -regs -wires"
321 - Added "memory -nordff"
322 - Added "write_smv"
323 - Added "synth -nordff -noalumacc"
324 - Added "rename -top new_name"
325 - Added "opt_const -clkinv"
326 - Added "synth -nofsm"
327 - Added "miter -assert"
328 - Added "read_verilog -noautowire"
329 - Added "read_verilog -nodpi"
330 - Added "tribuf"
331 - Added "lut2mux"
332 - Added "nlutmap"
333 - Added "qwp"
334 - Added "test_cell -noeval"
335 - Added "edgetypes"
336 - Added "equiv_struct"
337 - Added "equiv_purge"
338 - Added "equiv_mark"
339 - Added "equiv_add -try -cell"
340 - Added "singleton"
341 - Added "abc -g -luts"
342 - Added "torder"
343 - Added "write_blif -cname"
344 - Added "submod -copy"
345 - Added "dffsr2dff"
346 - Added "stat -liberty"
347
348 * Synthesis metacommands
349 - Various improvements in synth_xilinx
350 - Added synth_ice40 and synth_greenpak4
351 - Added "prep" metacommand for "synthesis lite"
352
353 * Cell library changes
354 - Added cell types to "help" system
355 - Added $meminit cell type
356 - Added $assume cell type
357 - Added $_MUX4_, $_MUX8_, and $_MUX16_ cells
358 - Added $tribuf and $_TBUF_ cell types
359 - Added read-enable to memory model
360
361 * YosysJS
362 - Various improvements in emscripten build
363 - Added alternative webworker-based JS API
364 - Added a few example applications
365
366
367 Yosys 0.4 .. Yosys 0.5
368 ----------------------
369
370 * API changes
371 - Added log_warning()
372 - Added eval_select_args() and eval_select_op()
373 - Added cell->known(), cell->input(portname), cell->output(portname)
374 - Skip blackbox modules in design->selected_modules()
375 - Replaced std::map<> and std::set<> with dict<> and pool<>
376 - New SigSpec::extend() is what used to be SigSpec::extend_u0()
377 - Added YS_OVERRIDE, YS_FINAL, YS_ATTRIBUTE, YS_NORETURN
378
379 * Cell library changes
380 - Added flip-flops with enable ($dffe etc.)
381 - Added $equiv cells for equivalence checking framework
382
383 * Various
384 - Updated ABC to hg rev 61ad5f908c03
385 - Added clock domain partitioning to ABC pass
386 - Improved plugin building (see "yosys-config --build")
387 - Added ENABLE_NDEBUG Makefile flag for high-performance builds
388 - Added "yosys -d", "yosys -L" and other driver improvements
389 - Added support for multi-bit (array) cell ports to "write_edif"
390 - Now printing most output to stdout, not stderr
391 - Added "onehot" attribute (set by "fsm_map")
392 - Various performance improvements
393 - Vastly improved Xilinx flow
394 - Added "make unsintall"
395
396 * Equivalence checking
397 - Added equivalence checking commands:
398 equiv_make equiv_simple equiv_status
399 equiv_induct equiv_miter
400 equiv_add equiv_remove
401
402 * Block RAM support:
403 - Added "memory_bram" command
404 - Added BRAM support to Xilinx flow
405
406 * Other New Commands and Options
407 - Added "dff2dffe"
408 - Added "fsm -encfile"
409 - Added "dfflibmap -prepare"
410 - Added "write_blid -unbuf -undef -blackbox"
411 - Added "write_smt2" for writing SMT-LIBv2 files
412 - Added "test_cell -w -muxdiv"
413 - Added "select -read"
414
415
416 Yosys 0.3.0 .. Yosys 0.4
417 ------------------------
418
419 * Platform Support
420 - Added support for mxe-based cross-builds for win32
421 - Added sourcecode-export as VisualStudio project
422 - Added experimental EMCC (JavaScript) support
423
424 * Verilog Frontend
425 - Added -sv option for SystemVerilog (and automatic *.sv file support)
426 - Added support for real-valued constants and constant expressions
427 - Added support for non-standard "via_celltype" attribute on task/func
428 - Added support for non-standard "module mod_name(...);" syntax
429 - Added support for non-standard """ macro bodies
430 - Added support for array with more than one dimension
431 - Added support for $readmemh and $readmemb
432 - Added support for DPI functions
433
434 * Changes in internal cell library
435 - Added $shift and $shiftx cell types
436 - Added $alu, $lcu, $fa and $macc cell types
437 - Removed $bu0 and $safe_pmux cell types
438 - $mem/$memwr WR_EN input is now a per-data-bit enable signal
439 - Added $_NAND_ $_NOR_ $_XNOR_ $_AOI3_ $_OAI3_ $_AOI4_ $_OAI4_
440 - Renamed ports of $lut cells (from I->O to A->Y)
441 - Renamed $_INV_ to $_NOT_
442
443 * Changes for simple synthesis flows
444 - There is now a "synth" command with a recommended default script
445 - Many improvements in synthesis of arithmetic functions to gates
446 - Multipliers and adders with many operands are using carry-save adder trees
447 - Remaining adders are now implemented using Brent-Kung carry look-ahead adders
448 - Various new high-level optimizations on RTL netlist
449 - Various improvements in FSM optimization
450 - Updated ABC to hg 5b5af75f1dda (from 2014-11-07)
451
452 * Changes in internal APIs and RTLIL
453 - Added log_id() and log_cell() helper functions
454 - Added function-like cell creation helpers
455 - Added GetSize() function (like .size() but with int)
456 - Major refactoring of RTLIL::Module and related classes
457 - Major refactoring of RTLIL::SigSpec and related classes
458 - Now RTLIL::IdString is essentially an int
459 - Added macros for code coverage counters
460 - Added some Makefile magic for pretty make logs
461 - Added "kernel/yosys.h" with all the core definitions
462 - Changed a lot of code from FILE* to c++ streams
463 - Added RTLIL::Monitor API and "trace" command
464 - Added "Yosys" C++ namespace
465
466 * Changes relevant to SAT solving
467 - Added ezSAT::keep_cnf() and ezSAT::non_incremental()
468 - Added native ezSAT support for vector shift ops
469 - Updated MiniSAT to git 37dc6c67e2 (from 2013-09-25)
470
471 * New commands (or large improvements to commands)
472 - Added "synth" command with default script
473 - Added "share" (finally some real resource sharing)
474 - Added "memory_share" (reduce number of ports on memories)
475 - Added "wreduce" and "alumacc" commands
476 - Added "opt -keepdc -fine -full -fast"
477 - Added some "test_*" commands
478
479 * Various other changes
480 - Added %D and %c select operators
481 - Added support for labels in yosys scripts
482 - Added support for here-documents in yosys scripts
483 - Support "+/" prefix for files from proc_share_dir
484 - Added "autoidx" statement to ilang language
485 - Switched from "yosys-svgviewer" to "xdot"
486 - Renamed "stdcells.v" to "techmap.v"
487 - Various bug fixes and small improvements
488 - Improved welcome and bye messages
489
490
491 Yosys 0.2.0 .. Yosys 0.3.0
492 --------------------------
493
494 * Driver program and overall behavior:
495 - Added "design -push" and "design -pop"
496 - Added "tee" command for redirecting log output
497
498 * Changes in the internal cell library:
499 - Added $dlatchsr and $_DLATCHSR_???_ cell types
500
501 * Improvements in Verilog frontend:
502 - Improved support for const functions (case, always, repeat)
503 - The generate..endgenerate keywords are now optional
504 - Added support for arrays of module instances
505 - Added support for "`default_nettype" directive
506 - Added support for "`line" directive
507
508 * Other front- and back-ends:
509 - Various changes to "write_blif" options
510 - Various improvements in EDIF backend
511 - Added "vhdl2verilog" pseudo-front-end
512 - Added "verific" pseudo-front-end
513
514 * Improvements in technology mapping:
515 - Added support for recursive techmap
516 - Added CONSTMSK and CONSTVAL features to techmap
517 - Added _TECHMAP_CONNMAP_*_ feature to techmap
518 - Added _TECHMAP_REPLACE_ feature to techmap
519 - Added "connwrappers" command for wrap-extract-unwrap method
520 - Added "extract -map %<design_name>" feature
521 - Added "extract -ignore_param ..." and "extract -ignore_parameters"
522 - Added "techmap -max_iter" option
523
524 * Improvements to "eval" and "sat" framework:
525 - Now include a copy of Minisat (with build fixes applied)
526 - Switched to Minisat::SimpSolver as SAT back-end
527 - Added "sat -dump_vcd" feature
528 - Added "sat -dump_cnf" feature
529 - Added "sat -initsteps <N>" feature
530 - Added "freduce -stop <N>" feature
531 - Added "freduce -dump <prefix>" feature
532
533 * Integration with ABC:
534 - Updated ABC rev to 7600ffb9340c
535
536 * Improvements in the internal APIs:
537 - Added RTLIL::Module::add... helper methods
538 - Various build fixes for OSX (Darwin) and OpenBSD
539
540
541 Yosys 0.1.0 .. Yosys 0.2.0
542 --------------------------
543
544 * Changes to the driver program:
545 - Added "yosys -h" and "yosys -H"
546 - Added support for backslash line continuation in scripts
547 - Added support for #-comments in same line as command
548 - Added "echo" and "log" commands
549
550 * Improvements in Verilog frontend:
551 - Added support for local registers in named blocks
552 - Added support for "case" in "generate" blocks
553 - Added support for $clog2 system function
554 - Added support for basic SystemVerilog assert statements
555 - Added preprocessor support for macro arguments
556 - Added preprocessor support for `elsif statement
557 - Added "verilog_defaults" command
558 - Added read_verilog -icells option
559 - Added support for constant sizes from parameters
560 - Added "read_verilog -setattr"
561 - Added support for function returning 'integer'
562 - Added limited support for function calls in parameter values
563 - Added "read_verilog -defer" to suppress evaluation of modules with default parameters
564
565 * Other front- and back-ends:
566 - Added BTOR backend
567 - Added Liberty frontend
568
569 * Improvements in technology mapping:
570 - The "dfflibmap" command now strongly prefers solutions with
571 no inverters in clock paths
572 - The "dfflibmap" command now prefers cells with smaller area
573 - Added support for multiple -map options to techmap
574 - Added "dfflibmap" support for //-comments in liberty files
575 - Added "memory_unpack" command to revert "memory_collect"
576 - Added standard techmap rule "techmap -share_map pmux2mux.v"
577 - Added "iopadmap -bits"
578 - Added "setundef" command
579 - Added "hilomap" command
580
581 * Changes in the internal cell library:
582 - Major rewrite of simlib.v for better compatibility with other tools
583 - Added PRIORITY parameter to $memwr cells
584 - Added TRANSPARENT parameter to $memrd cells
585 - Added RD_TRANSPARENT parameter to $mem cells
586 - Added $bu0 cell (always 0-extend, even undef MSB)
587 - Added $assert cell type
588 - Added $slice and $concat cell types
589
590 * Integration with ABC:
591 - Updated ABC to hg rev 2058c8ccea68
592 - Tighter integration of ABC build with Yosys build. The make
593 targets 'make abc' and 'make install-abc' are now obsolete.
594 - Added support for passing FFs from one clock domain through ABC
595 - Now always use BLIF as exchange format with ABC
596 - Added support for "abc -script +<command_sequence>"
597 - Improved standard ABC recipe
598 - Added support for "keep" attribute to abc command
599 - Added "abc -dff / -clk / -keepff" options
600
601 * Improvements to "eval" and "sat" framework:
602 - Added support for "0" and "~0" in right-hand side -set expressions
603 - Added "eval -set-undef" and "eval -table"
604 - Added "sat -set-init" and "sat -set-init-*" for sequential problems
605 - Added undef support to SAT solver, incl. various new "sat" options
606 - Added correct support for === and !== for "eval" and "sat"
607 - Added "sat -tempinduct" (default -seq is now non-induction sequential)
608 - Added "sat -prove-asserts"
609 - Complete rewrite of the 'freduce' command
610 - Added "miter" command
611 - Added "sat -show-inputs" and "sat -show-outputs"
612 - Added "sat -ignore_unknown_cells" (now produce an error by default)
613 - Added "sat -falsify"
614 - Now "sat -verify" and "sat -falsify" can also be used without "-prove"
615 - Added "expose" command
616 - Added support for @<sel_name> to sat and eval signal expressions
617
618 * Changes in the 'make test' framework and auxiliary test tools:
619 - Added autotest.sh -p and -f options
620 - Replaced autotest.sh ISIM support with XSIM support
621 - Added test cases for SAT framework
622
623 * Added "abbreviated IDs":
624 - Now $<something>$foo can be abbreviated as $foo.
625 - Usually this last part is a unique id (from RTLIL::autoidx)
626 - This abbreviated IDs are now also used in "show" output
627
628 * Other changes to selection framework:
629 - Now */ is optional in */<mode>:<arg> expressions
630 - Added "select -assert-none" and "select -assert-any"
631 - Added support for matching modules by attribute (A:<expr>)
632 - Added "select -none"
633 - Added support for r:<expr> pattern for matching cell parameters
634 - Added support for !=, <, <=, >=, > for attribute and parameter matching
635 - Added support for %s for selecting sub-modules
636 - Added support for %m for expanding selections to whole modules
637 - Added support for i:*, o:* and x:* pattern for selecting module ports
638 - Added support for s:<expr> pattern for matching wire width
639 - Added support for %a operation to select wire aliases
640
641 * Various other changes to commands and options:
642 - The "ls" command now supports wildcards
643 - Added "show -pause" and "show -format dot"
644 - Added "show -color" support for cells
645 - Added "show -label" and "show -notitle"
646 - Added "dump -m" and "dump -n"
647 - Added "history" command
648 - Added "rename -hide"
649 - Added "connect" command
650 - Added "splitnets -driver"
651 - Added "opt_const -mux_undef"
652 - Added "opt_const -mux_bool"
653 - Added "opt_const -undriven"
654 - Added "opt -mux_undef -mux_bool -undriven -purge"
655 - Added "hierarchy -libdir"
656 - Added "hierarchy -purge_lib" (by default now do not remove lib cells)
657 - Added "delete" command
658 - Added "dump -append"
659 - Added "setattr" and "setparam" commands
660 - Added "design -stash/-copy-from/-copy-to"
661 - Added "copy" command
662 - Added "splice" command
663