Merge remote-tracking branch 'origin/master' into eddie/shiftx2mux
[yosys.git] / CHANGELOG
1
2 List of major changes and improvements between releases
3 =======================================================
4
5
6 Yosys 0.9 .. Yosys 0.9-dev
7 --------------------------
8
9 * Various
10 - Added "write_xaiger" backend
11 - Added "abc9" pass for timing-aware techmapping (experimental, FPGA only, no FFs)
12 - Added "synth_xilinx -abc9" (experimental)
13 - Added "synth_ice40 -abc9" (experimental)
14 - Added "synth -abc9" (experimental)
15 - Added "script -scriptwire"
16 - Added "synth_xilinx -nocarry"
17 - Added "synth_xilinx -nowidelut"
18 - Added "synth_ecp5 -nowidelut"
19 - "synth_xilinx" to now infer wide multiplexers (-widemux <min> to enable)
20 - Renamed labels/options in synth_ice40 (e.g. dram -> map_lutram; -nodram -> -nolutram)
21 - Renamed labels/options in synth_ecp5 (e.g. dram -> map_lutram; -nodram -> -nolutram)
22 - Renamed labels in synth_intel (e.g. bram -> map_bram)
23 - Renamed labels/options in synth_xilinx (e.g. dram -> map_lutram; -nodram -> -nolutram)
24 - Added automatic gzip decompression for frontends
25 - Added $_NMUX_ cell type
26 - Added automatic gzip compression (based on filename extension) for backends
27 - Improve attribute and parameter encoding in JSON to avoid ambiguities between
28 bit vectors and strings containing [01xz]*
29 - Added "clkbufmap" pass
30 - Added "extractinv" pass and "invertible_pin" attribute
31 - Added "synth_xilinx -family xc6s" for Spartan 6 support (experimental)
32 - Added "synth_xilinx -ise" (experimental)
33 - Added "synth_xilinx -iopad"
34 - "synth_xilinx" now automatically inserts clock buffers (add -noclkbuf to disable)
35 - Improvements in pmgen: subpattern and recursive matches
36 - Added "opt_share" pass, run as part of "opt -full"
37 - Added "ice40_wrapcarry" to encapsulate SB_LUT+SB_CARRY pairs for techmapping
38 - Removed "ice40_unlut"
39 - Improvements in pmgen: slices, choices, define, generate
40 - Added "xilinx_srl" for Xilinx shift register extraction
41 - Removed "shregmap -tech xilinx" (superseded by "xilinx_srl")
42 - Added "_TECHMAP_WIREINIT_*_" attribute and "_TECHMAP_REMOVEINIT_*_" wire for "techmap" pass
43 - Added "-match-init" option to "dff2dffs" pass
44 - Added "techmap_autopurge" support to techmap
45 - Added "add -mod <modname[s]>"
46 - Added +/mul2dsp.v for decomposing wide multipliers to custom-sized ones
47 - Added "ice40_dsp" for Lattice iCE40 DSP packing
48 - Added "xilinx_dsp" for Xilinx DSP packing
49 - "synth_xilinx" to now infer DSP blocks (-nodsp to disable)
50 - "synth_ecp5" to now infer DSP blocks (-nodsp to disable, experimental)
51 - "synth_ice40 -dsp" to infer DSP blocks
52 - Added latch support to synth_xilinx
53 - Added support for flip-flops with synchronous reset to synth_xilinx
54 - Added support for flip-flops with reset and enable to synth_xilinx
55 - Added "check -mapped"
56 - Added checking of SystemVerilog always block types (always_comb,
57 always_latch and always_ff)
58 - Added support for SystemVerilog wildcard port connections (.*)
59 - Added "xilinx_dffopt" pass
60 - Added "scratchpad" pass
61 - Added "abc9 -dff"
62 - Added "synth_xilinx -dff"
63 - Added "opt_lut_ins" pass
64
65 Yosys 0.8 .. Yosys 0.9
66 ----------------------
67
68 * Various
69 - Many bugfixes and small improvements
70 - Added support for SystemVerilog interfaces and modports
71 - Added "write_edif -attrprop"
72 - Added "opt_lut" pass
73 - Added "gate2lut.v" techmap rule
74 - Added "rename -src"
75 - Added "equiv_opt" pass
76 - Added "flowmap" LUT mapping pass
77 - Added "rename -wire" to rename cells based on the wires they drive
78 - Added "bugpoint" for creating minimised testcases
79 - Added "write_edif -gndvccy"
80 - "write_verilog" to escape Verilog keywords
81 - Fixed sign handling of real constants
82 - "write_verilog" to write initial statement for initial flop state
83 - Added pmgen pattern matcher generator
84 - Fixed opt_rmdff handling of $_DFFSR_???_ and $_DLATCHSR_???_
85 - Added "setundef -params" to replace undefined cell parameters
86 - Renamed "yosys -D" to "yosys -U", added "yosys -D" to set Verilog defines
87 - Fixed handling of defparam when default_nettype is none
88 - Fixed "wreduce" flipflop handling
89 - Fixed FIRRTL to Verilog process instance subfield assignment
90 - Added "write_verilog -siminit"
91 - Several fixes and improvements for mem2reg memories
92 - Fixed handling of task output ports in clocked always blocks
93 - Improved handling of and-with-1 and or-with-0 in "opt_expr"
94 - Added "read_aiger" frontend
95 - Added "mutate" pass
96 - Added "hdlname" attribute
97 - Added "rename -output"
98 - Added "read_ilang -lib"
99 - Improved "proc" full_case detection and handling
100 - Added "whitebox" and "lib_whitebox" attributes
101 - Added "read_verilog -nowb", "flatten -wb" and "wbflip"
102 - Added Python bindings and support for Python plug-ins
103 - Added "pmux2shiftx"
104 - Added log_debug framework for reduced default verbosity
105 - Improved "opt_expr" and "opt_clean" handling of (partially) undriven and/or unused wires
106 - Added "peepopt" peephole optimisation pass using pmgen
107 - Added approximate support for SystemVerilog "var" keyword
108 - Added parsing of "specify" blocks into $specrule and $specify[23]
109 - Added support for attributes on parameters and localparams
110 - Added support for parsing attributes on port connections
111 - Added "wreduce -keepdc"
112 - Added support for optimising $dffe and $_DFFE_* cells in "opt_rmdff"
113 - Added Verilog wand/wor wire type support
114 - Added support for elaboration system tasks
115 - Added "muxcover -mux{4,8,16}=<cost>"
116 - Added "muxcover -dmux=<cost>"
117 - Added "muxcover -nopartial"
118 - Added "muxpack" pass
119 - Added "pmux2shiftx -norange"
120 - Added support for "~" in filename parsing
121 - Added "read_verilog -pwires" feature to turn parameters into wires
122 - Fixed sign extension of unsized constants with 'bx and 'bz MSB
123 - Fixed genvar to be a signed type
124 - Added support for attributes on case rules
125 - Added "upto" and "offset" to JSON frontend and backend
126 - Several liberty file parser improvements
127 - Fixed handling of more complex BRAM patterns
128 - Add "write_aiger -I -O -B"
129
130 * Formal Verification
131 - Added $changed support to read_verilog
132 - Added "read_verilog -noassert -noassume -assert-assumes"
133 - Added btor ops for $mul, $div, $mod and $concat
134 - Added yosys-smtbmc support for btor witnesses
135 - Added "supercover" pass
136 - Fixed $global_clock handling vs autowire
137 - Added $dffsr support to "async2sync"
138 - Added "fmcombine" pass
139 - Added memory init support in "write_btor"
140 - Added "cutpoint" pass
141 - Changed "ne" to "neq" in btor2 output
142 - Added support for SVA "final" keyword
143 - Added "fmcombine -initeq -anyeq"
144 - Added timescale and generated-by header to yosys-smtbmc vcd output
145 - Improved BTOR2 handling of undriven wires
146
147 * Verific support
148 - Enabled Verific flags vhdl_support_variable_slice and veri_elaborate_top_level_modules_having_interface_ports
149 - Improved support for asymmetric memories
150 - Added "verific -chparam"
151 - Fixed "verific -extnets" for more complex situations
152 - Added "read -verific" and "read -noverific"
153 - Added "hierarchy -chparam"
154
155 * New back-ends
156 - Added initial Anlogic support
157 - Added initial SmartFusion2 and IGLOO2 support
158
159 * ECP5 support
160 - Added "synth_ecp5 -nowidelut"
161 - Added BRAM inference support to "synth_ecp5"
162 - Added support for transforming Diamond IO and flipflop primitives
163
164 * iCE40 support
165 - Added "ice40_unlut" pass
166 - Added "synth_ice40 -relut"
167 - Added "synth_ice40 -noabc"
168 - Added "synth_ice40 -dffe_min_ce_use"
169 - Added DSP inference support using pmgen
170 - Added support for initialising BRAM primitives from a file
171 - Added iCE40 Ultra RGB LED driver cells
172
173 * Xilinx support
174 - Use "write_edif -pvector bra" for Xilinx EDIF files
175 - Fixes for VPR place and route support with "synth_xilinx"
176 - Added more cell simulation models
177 - Added "synth_xilinx -family"
178 - Added "stat -tech xilinx" to estimate logic cell usage
179 - Added "synth_xilinx -nocarry"
180 - Added "synth_xilinx -nowidelut"
181 - "synth_xilinx" to now infer hard shift registers (-nosrl to disable)
182 - Added support for mapping RAM32X1D
183
184 Yosys 0.7 .. Yosys 0.8
185 ----------------------
186
187 * Various
188 - Many bugfixes and small improvements
189 - Strip debug symbols from installed binary
190 - Replace -ignore_redef with -[no]overwrite in front-ends
191 - Added write_verilog hex dump support, add -nohex option
192 - Added "write_verilog -decimal"
193 - Added "scc -set_attr"
194 - Added "verilog_defines" command
195 - Remember defines from one read_verilog to next
196 - Added support for hierarchical defparam
197 - Added FIRRTL back-end
198 - Improved ABC default scripts
199 - Added "design -reset-vlog"
200 - Added "yosys -W regex", "yosys -w regex", and "yosys -e regex"
201 - Added Verilog $rtoi and $itor support
202 - Added "check -initdrv"
203 - Added "read_blif -wideports"
204 - Added support for SystemVerilog "++" and "--" operators
205 - Added support for SystemVerilog unique, unique0, and priority case
206 - Added "write_edif" options for edif "flavors"
207 - Added support for resetall compiler directive
208 - Added simple C beck-end (bitwise combinatorical only atm)
209 - Added $_ANDNOT_ and $_ORNOT_ cell types
210 - Added cell library aliases to "abc -g"
211 - Added "setundef -anyseq"
212 - Added "chtype" command
213 - Added "design -import"
214 - Added "write_table" command
215 - Added "read_json" command
216 - Added "sim" command
217 - Added "extract_fa" and "extract_reduce" commands
218 - Added "extract_counter" command
219 - Added "opt_demorgan" command
220 - Added support for $size and $bits SystemVerilog functions
221 - Added "blackbox" command
222 - Added "ltp" command
223 - Added support for editline as replacement for readline
224 - Added warnings for driver-driver conflicts between FFs (and other cells) and constants
225 - Added "yosys -E" for creating Makefile dependencies files
226 - Added "synth -noshare"
227 - Added "memory_nordff"
228 - Added "setundef -undef -expose -anyconst"
229 - Added "expose -input"
230 - Added specify/specparam parser support (simply ignore them)
231 - Added "write_blif -inames -iattr"
232 - Added "hierarchy -simcheck"
233 - Added an option to statically link abc into yosys
234 - Added protobuf back-end
235 - Added BLIF parsing support for .conn and .cname
236 - Added read_verilog error checking for reg/wire/logic misuse
237 - Added "make coverage" and ENABLE_GCOV build option
238
239 * Changes in Yosys APIs
240 - Added ConstEval defaultval feature
241 - Added {get,set}_src_attribute() methods on RTLIL::AttrObject
242 - Added SigSpec::is_fully_ones() and Const::is_fully_ones()
243 - Added log_file_warning() and log_file_error() functions
244
245 * Formal Verification
246 - Added "write_aiger"
247 - Added "yosys-smtbmc --aig"
248 - Added "always <positive_int>" to .smtc format
249 - Added $cover cell type and support for cover properties
250 - Added $fair/$live cell type and support for liveness properties
251 - Added smtbmc support for memory vcd dumping
252 - Added "chformal" command
253 - Added "write_smt2 -stbv" and "write_smt2 -stdt"
254 - Fix equiv_simple, old behavior now available with "equiv_simple -short"
255 - Change to Yices2 as default SMT solver (it is GPL now)
256 - Added "yosys-smtbmc --presat" (now default in SymbiYosys)
257 - Added "yosys-smtbmc --smtc-init --smtc-top --noinit"
258 - Added a brand new "write_btor" command for BTOR2
259 - Added clk2fflogic memory support and other improvements
260 - Added "async memory write" support to write_smt2
261 - Simulate clock toggling in yosys-smtbmc VCD output
262 - Added $allseq/$allconst cells for EA-solving
263 - Make -nordff the default in "prep"
264 - Added (* gclk *) attribute
265 - Added "async2sync" pass for single-clock designs with async resets
266
267 * Verific support
268 - Many improvements in Verific front-end
269 - Added proper handling of concurent SVA properties
270 - Map "const" and "rand const" to $anyseq/$anyconst
271 - Added "verific -import -flatten" and "verific -import -extnets"
272 - Added "verific -vlog-incdir -vlog-define -vlog-libdir"
273 - Remove PSL support (because PSL has been removed in upstream Verific)
274 - Improve integration with "hierarchy" command design elaboration
275 - Added YOSYS_NOVERIFIC for running non-verific test cases with verific bin
276 - Added simpilied "read" command that automatically uses verific if available
277 - Added "verific -set-<severity> <msg_id>.."
278 - Added "verific -work <libname>"
279
280 * New back-ends
281 - Added initial Coolrunner-II support
282 - Added initial eASIC support
283 - Added initial ECP5 support
284
285 * GreenPAK Support
286 - Added support for GP_DLATCH, GP_SPI, GP_DCMx, GP_COUNTx, etc.
287
288 * iCE40 Support
289 - Add "synth_ice40 -vpr"
290 - Add "synth_ice40 -nodffe"
291 - Add "synth_ice40 -json"
292 - Add Support for UltraPlus cells
293
294 * MAX10 and Cyclone IV Support
295 - Added initial version of metacommand "synth_intel".
296 - Improved write_verilog command to produce VQM netlist for Quartus Prime.
297 - Added support for MAX10 FPGA family synthesis.
298 - Added support for Cyclone IV family synthesis.
299 - Added example of implementation for DE2i-150 board.
300 - Added example of implementation for MAX10 development kit.
301 - Added LFSR example from Asic World.
302 - Added "dffinit -highlow" for mapping to Intel primitives
303
304
305 Yosys 0.6 .. Yosys 0.7
306 ----------------------
307
308 * Various
309 - Added "yosys -D" feature
310 - Added support for installed plugins in $(DATDIR)/plugins/
311 - Renamed opt_const to opt_expr
312 - Renamed opt_share to opt_merge
313 - Added "prep -flatten" and "synth -flatten"
314 - Added "prep -auto-top" and "synth -auto-top"
315 - Using "mfs" and "lutpack" in ABC lut mapping
316 - Support for abstract modules in chparam
317 - Cleanup abstract modules at end of "hierarchy -top"
318 - Added tristate buffer support to iopadmap
319 - Added opt_expr support for div/mod by power-of-two
320 - Added "select -assert-min <N> -assert-max <N>"
321 - Added "attrmvcp" pass
322 - Added "attrmap" command
323 - Added "tee +INT -INT"
324 - Added "zinit" pass
325 - Added "setparam -type"
326 - Added "shregmap" pass
327 - Added "setundef -init"
328 - Added "nlutmap -assert"
329 - Added $sop cell type and "abc -sop -I <num> -P <num>"
330 - Added "dc2" to default ABC scripts
331 - Added "deminout"
332 - Added "insbuf" command
333 - Added "prep -nomem"
334 - Added "opt_rmdff -keepdc"
335 - Added "prep -nokeepdc"
336 - Added initial version of "synth_gowin"
337 - Added "fsm_expand -full"
338 - Added support for fsm_encoding="user"
339 - Many improvements in GreenPAK4 support
340 - Added black box modules for all Xilinx 7-series lib cells
341 - Added synth_ice40 support for latches via logic loops
342 - Fixed ice40_opt lut unmapping, added "ice40_opt -unlut"
343
344 * Build System
345 - Added ABCEXTERNAL and ABCURL make variables
346 - Added BINDIR, LIBDIR, and DATDIR make variables
347 - Added PKG_CONFIG make variable
348 - Added SEED make variable (for "make test")
349 - Added YOSYS_VER_STR make variable
350 - Updated min GCC requirement to GCC 4.8
351 - Updated required Bison version to Bison 3.x
352
353 * Internal APIs
354 - Added ast.h to exported headers
355 - Added ScriptPass helper class for script-like passes
356 - Added CellEdgesDatabase API
357
358 * Front-ends and Back-ends
359 - Added filename glob support to all front-ends
360 - Added avail (black-box) module params to ilang format
361 - Added $display %m support
362 - Added support for $stop Verilog system task
363 - Added support for SystemVerilog packages
364 - Fixed procedural assignments to non-unique lvalues, e.g. {y,y} = {a,b}
365 - Added support for "active high" and "active low" latches in read_blif and write_blif
366 - Use init value "2" for all uninitialized FFs in BLIF back-end
367 - Added "read_blif -sop"
368 - Added "write_blif -noalias"
369 - Added various write_blif options for VTR support
370 - write_json: also write module attributes.
371 - Added "write_verilog -nodec -nostr -defparam"
372 - Added "read_verilog -norestrict -assume-asserts"
373 - Added support for bus interfaces to "read_liberty -lib"
374 - Added liberty parser support for types within cell decls
375 - Added "write_verilog -renameprefix -v"
376 - Added "write_edif -nogndvcc"
377
378 * Formal Verification
379 - Support for hierarchical designs in smt2 back-end
380 - Yosys-smtbmc: Support for hierarchical VCD dumping
381 - Added $initstate cell type and vlog function
382 - Added $anyconst and $anyseq cell types and vlog functions
383 - Added printing of code loc of failed asserts to yosys-smtbmc
384 - Added memory_memx pass, "memory -memx", and "prep -memx"
385 - Added "proc_mux -ifx"
386 - Added "yosys-smtbmc -g"
387 - Deprecated "write_smt2 -regs" (by default on now)
388 - Made "write_smt2 -bv -mem" default, added "write_smt2 -nobv -nomem"
389 - Added support for memories to smtio.py
390 - Added "yosys-smtbmc --dump-vlogtb"
391 - Added "yosys-smtbmc --smtc --dump-smtc"
392 - Added "yosys-smtbmc --dump-all"
393 - Added assertpmux command
394 - Added "yosys-smtbmc --unroll"
395 - Added $past, $stable, $rose, $fell SVA functions
396 - Added "yosys-smtbmc --noinfo and --dummy"
397 - Added "yosys-smtbmc --noincr"
398 - Added "yosys-smtbmc --cex <filename>"
399 - Added $ff and $_FF_ cell types
400 - Added $global_clock verilog syntax support for creating $ff cells
401 - Added clk2fflogic
402
403
404 Yosys 0.5 .. Yosys 0.6
405 ----------------------
406
407 * Various
408 - Added Contributor Covenant Code of Conduct
409 - Various improvements in dict<> and pool<>
410 - Added hashlib::mfp and refactored SigMap
411 - Improved support for reals as module parameters
412 - Various improvements in SMT2 back-end
413 - Added "keep_hierarchy" attribute
414 - Verilog front-end: define `BLACKBOX in -lib mode
415 - Added API for converting internal cells to AIGs
416 - Added ENABLE_LIBYOSYS Makefile option
417 - Removed "techmap -share_map" (use "-map +/filename" instead)
418 - Switched all Python scripts to Python 3
419 - Added support for $display()/$write() and $finish() to Verilog front-end
420 - Added "yosys-smtbmc" formal verification flow
421 - Added options for clang sanitizers to Makefile
422
423 * New commands and options
424 - Added "scc -expect <N> -nofeedback"
425 - Added "proc_dlatch"
426 - Added "check"
427 - Added "select %xe %cie %coe %M %C %R"
428 - Added "sat -dump_json" (WaveJSON format)
429 - Added "sat -tempinduct-baseonly -tempinduct-inductonly"
430 - Added "sat -stepsize" and "sat -tempinduct-step"
431 - Added "sat -show-regs -show-public -show-all"
432 - Added "write_json" (Native Yosys JSON format)
433 - Added "write_blif -attr"
434 - Added "dffinit"
435 - Added "chparam"
436 - Added "muxcover"
437 - Added "pmuxtree"
438 - Added memory_bram "make_outreg" feature
439 - Added "splice -wires"
440 - Added "dff2dffe -direct-match"
441 - Added simplemap $lut support
442 - Added "read_blif"
443 - Added "opt_share -share_all"
444 - Added "aigmap"
445 - Added "write_smt2 -mem -regs -wires"
446 - Added "memory -nordff"
447 - Added "write_smv"
448 - Added "synth -nordff -noalumacc"
449 - Added "rename -top new_name"
450 - Added "opt_const -clkinv"
451 - Added "synth -nofsm"
452 - Added "miter -assert"
453 - Added "read_verilog -noautowire"
454 - Added "read_verilog -nodpi"
455 - Added "tribuf"
456 - Added "lut2mux"
457 - Added "nlutmap"
458 - Added "qwp"
459 - Added "test_cell -noeval"
460 - Added "edgetypes"
461 - Added "equiv_struct"
462 - Added "equiv_purge"
463 - Added "equiv_mark"
464 - Added "equiv_add -try -cell"
465 - Added "singleton"
466 - Added "abc -g -luts"
467 - Added "torder"
468 - Added "write_blif -cname"
469 - Added "submod -copy"
470 - Added "dffsr2dff"
471 - Added "stat -liberty"
472
473 * Synthesis metacommands
474 - Various improvements in synth_xilinx
475 - Added synth_ice40 and synth_greenpak4
476 - Added "prep" metacommand for "synthesis lite"
477
478 * Cell library changes
479 - Added cell types to "help" system
480 - Added $meminit cell type
481 - Added $assume cell type
482 - Added $_MUX4_, $_MUX8_, and $_MUX16_ cells
483 - Added $tribuf and $_TBUF_ cell types
484 - Added read-enable to memory model
485
486 * YosysJS
487 - Various improvements in emscripten build
488 - Added alternative webworker-based JS API
489 - Added a few example applications
490
491
492 Yosys 0.4 .. Yosys 0.5
493 ----------------------
494
495 * API changes
496 - Added log_warning()
497 - Added eval_select_args() and eval_select_op()
498 - Added cell->known(), cell->input(portname), cell->output(portname)
499 - Skip blackbox modules in design->selected_modules()
500 - Replaced std::map<> and std::set<> with dict<> and pool<>
501 - New SigSpec::extend() is what used to be SigSpec::extend_u0()
502 - Added YS_OVERRIDE, YS_FINAL, YS_ATTRIBUTE, YS_NORETURN
503
504 * Cell library changes
505 - Added flip-flops with enable ($dffe etc.)
506 - Added $equiv cells for equivalence checking framework
507
508 * Various
509 - Updated ABC to hg rev 61ad5f908c03
510 - Added clock domain partitioning to ABC pass
511 - Improved plugin building (see "yosys-config --build")
512 - Added ENABLE_NDEBUG Makefile flag for high-performance builds
513 - Added "yosys -d", "yosys -L" and other driver improvements
514 - Added support for multi-bit (array) cell ports to "write_edif"
515 - Now printing most output to stdout, not stderr
516 - Added "onehot" attribute (set by "fsm_map")
517 - Various performance improvements
518 - Vastly improved Xilinx flow
519 - Added "make unsintall"
520
521 * Equivalence checking
522 - Added equivalence checking commands:
523 equiv_make equiv_simple equiv_status
524 equiv_induct equiv_miter
525 equiv_add equiv_remove
526
527 * Block RAM support:
528 - Added "memory_bram" command
529 - Added BRAM support to Xilinx flow
530
531 * Other New Commands and Options
532 - Added "dff2dffe"
533 - Added "fsm -encfile"
534 - Added "dfflibmap -prepare"
535 - Added "write_blid -unbuf -undef -blackbox"
536 - Added "write_smt2" for writing SMT-LIBv2 files
537 - Added "test_cell -w -muxdiv"
538 - Added "select -read"
539
540
541 Yosys 0.3.0 .. Yosys 0.4
542 ------------------------
543
544 * Platform Support
545 - Added support for mxe-based cross-builds for win32
546 - Added sourcecode-export as VisualStudio project
547 - Added experimental EMCC (JavaScript) support
548
549 * Verilog Frontend
550 - Added -sv option for SystemVerilog (and automatic *.sv file support)
551 - Added support for real-valued constants and constant expressions
552 - Added support for non-standard "via_celltype" attribute on task/func
553 - Added support for non-standard "module mod_name(...);" syntax
554 - Added support for non-standard """ macro bodies
555 - Added support for array with more than one dimension
556 - Added support for $readmemh and $readmemb
557 - Added support for DPI functions
558
559 * Changes in internal cell library
560 - Added $shift and $shiftx cell types
561 - Added $alu, $lcu, $fa and $macc cell types
562 - Removed $bu0 and $safe_pmux cell types
563 - $mem/$memwr WR_EN input is now a per-data-bit enable signal
564 - Added $_NAND_ $_NOR_ $_XNOR_ $_AOI3_ $_OAI3_ $_AOI4_ $_OAI4_
565 - Renamed ports of $lut cells (from I->O to A->Y)
566 - Renamed $_INV_ to $_NOT_
567
568 * Changes for simple synthesis flows
569 - There is now a "synth" command with a recommended default script
570 - Many improvements in synthesis of arithmetic functions to gates
571 - Multipliers and adders with many operands are using carry-save adder trees
572 - Remaining adders are now implemented using Brent-Kung carry look-ahead adders
573 - Various new high-level optimizations on RTL netlist
574 - Various improvements in FSM optimization
575 - Updated ABC to hg 5b5af75f1dda (from 2014-11-07)
576
577 * Changes in internal APIs and RTLIL
578 - Added log_id() and log_cell() helper functions
579 - Added function-like cell creation helpers
580 - Added GetSize() function (like .size() but with int)
581 - Major refactoring of RTLIL::Module and related classes
582 - Major refactoring of RTLIL::SigSpec and related classes
583 - Now RTLIL::IdString is essentially an int
584 - Added macros for code coverage counters
585 - Added some Makefile magic for pretty make logs
586 - Added "kernel/yosys.h" with all the core definitions
587 - Changed a lot of code from FILE* to c++ streams
588 - Added RTLIL::Monitor API and "trace" command
589 - Added "Yosys" C++ namespace
590
591 * Changes relevant to SAT solving
592 - Added ezSAT::keep_cnf() and ezSAT::non_incremental()
593 - Added native ezSAT support for vector shift ops
594 - Updated MiniSAT to git 37dc6c67e2 (from 2013-09-25)
595
596 * New commands (or large improvements to commands)
597 - Added "synth" command with default script
598 - Added "share" (finally some real resource sharing)
599 - Added "memory_share" (reduce number of ports on memories)
600 - Added "wreduce" and "alumacc" commands
601 - Added "opt -keepdc -fine -full -fast"
602 - Added some "test_*" commands
603
604 * Various other changes
605 - Added %D and %c select operators
606 - Added support for labels in yosys scripts
607 - Added support for here-documents in yosys scripts
608 - Support "+/" prefix for files from proc_share_dir
609 - Added "autoidx" statement to ilang language
610 - Switched from "yosys-svgviewer" to "xdot"
611 - Renamed "stdcells.v" to "techmap.v"
612 - Various bug fixes and small improvements
613 - Improved welcome and bye messages
614
615
616 Yosys 0.2.0 .. Yosys 0.3.0
617 --------------------------
618
619 * Driver program and overall behavior:
620 - Added "design -push" and "design -pop"
621 - Added "tee" command for redirecting log output
622
623 * Changes in the internal cell library:
624 - Added $dlatchsr and $_DLATCHSR_???_ cell types
625
626 * Improvements in Verilog frontend:
627 - Improved support for const functions (case, always, repeat)
628 - The generate..endgenerate keywords are now optional
629 - Added support for arrays of module instances
630 - Added support for "`default_nettype" directive
631 - Added support for "`line" directive
632
633 * Other front- and back-ends:
634 - Various changes to "write_blif" options
635 - Various improvements in EDIF backend
636 - Added "vhdl2verilog" pseudo-front-end
637 - Added "verific" pseudo-front-end
638
639 * Improvements in technology mapping:
640 - Added support for recursive techmap
641 - Added CONSTMSK and CONSTVAL features to techmap
642 - Added _TECHMAP_CONNMAP_*_ feature to techmap
643 - Added _TECHMAP_REPLACE_ feature to techmap
644 - Added "connwrappers" command for wrap-extract-unwrap method
645 - Added "extract -map %<design_name>" feature
646 - Added "extract -ignore_param ..." and "extract -ignore_parameters"
647 - Added "techmap -max_iter" option
648
649 * Improvements to "eval" and "sat" framework:
650 - Now include a copy of Minisat (with build fixes applied)
651 - Switched to Minisat::SimpSolver as SAT back-end
652 - Added "sat -dump_vcd" feature
653 - Added "sat -dump_cnf" feature
654 - Added "sat -initsteps <N>" feature
655 - Added "freduce -stop <N>" feature
656 - Added "freduce -dump <prefix>" feature
657
658 * Integration with ABC:
659 - Updated ABC rev to 7600ffb9340c
660
661 * Improvements in the internal APIs:
662 - Added RTLIL::Module::add... helper methods
663 - Various build fixes for OSX (Darwin) and OpenBSD
664
665
666 Yosys 0.1.0 .. Yosys 0.2.0
667 --------------------------
668
669 * Changes to the driver program:
670 - Added "yosys -h" and "yosys -H"
671 - Added support for backslash line continuation in scripts
672 - Added support for #-comments in same line as command
673 - Added "echo" and "log" commands
674
675 * Improvements in Verilog frontend:
676 - Added support for local registers in named blocks
677 - Added support for "case" in "generate" blocks
678 - Added support for $clog2 system function
679 - Added support for basic SystemVerilog assert statements
680 - Added preprocessor support for macro arguments
681 - Added preprocessor support for `elsif statement
682 - Added "verilog_defaults" command
683 - Added read_verilog -icells option
684 - Added support for constant sizes from parameters
685 - Added "read_verilog -setattr"
686 - Added support for function returning 'integer'
687 - Added limited support for function calls in parameter values
688 - Added "read_verilog -defer" to suppress evaluation of modules with default parameters
689
690 * Other front- and back-ends:
691 - Added BTOR backend
692 - Added Liberty frontend
693
694 * Improvements in technology mapping:
695 - The "dfflibmap" command now strongly prefers solutions with
696 no inverters in clock paths
697 - The "dfflibmap" command now prefers cells with smaller area
698 - Added support for multiple -map options to techmap
699 - Added "dfflibmap" support for //-comments in liberty files
700 - Added "memory_unpack" command to revert "memory_collect"
701 - Added standard techmap rule "techmap -share_map pmux2mux.v"
702 - Added "iopadmap -bits"
703 - Added "setundef" command
704 - Added "hilomap" command
705
706 * Changes in the internal cell library:
707 - Major rewrite of simlib.v for better compatibility with other tools
708 - Added PRIORITY parameter to $memwr cells
709 - Added TRANSPARENT parameter to $memrd cells
710 - Added RD_TRANSPARENT parameter to $mem cells
711 - Added $bu0 cell (always 0-extend, even undef MSB)
712 - Added $assert cell type
713 - Added $slice and $concat cell types
714
715 * Integration with ABC:
716 - Updated ABC to hg rev 2058c8ccea68
717 - Tighter integration of ABC build with Yosys build. The make
718 targets 'make abc' and 'make install-abc' are now obsolete.
719 - Added support for passing FFs from one clock domain through ABC
720 - Now always use BLIF as exchange format with ABC
721 - Added support for "abc -script +<command_sequence>"
722 - Improved standard ABC recipe
723 - Added support for "keep" attribute to abc command
724 - Added "abc -dff / -clk / -keepff" options
725
726 * Improvements to "eval" and "sat" framework:
727 - Added support for "0" and "~0" in right-hand side -set expressions
728 - Added "eval -set-undef" and "eval -table"
729 - Added "sat -set-init" and "sat -set-init-*" for sequential problems
730 - Added undef support to SAT solver, incl. various new "sat" options
731 - Added correct support for === and !== for "eval" and "sat"
732 - Added "sat -tempinduct" (default -seq is now non-induction sequential)
733 - Added "sat -prove-asserts"
734 - Complete rewrite of the 'freduce' command
735 - Added "miter" command
736 - Added "sat -show-inputs" and "sat -show-outputs"
737 - Added "sat -ignore_unknown_cells" (now produce an error by default)
738 - Added "sat -falsify"
739 - Now "sat -verify" and "sat -falsify" can also be used without "-prove"
740 - Added "expose" command
741 - Added support for @<sel_name> to sat and eval signal expressions
742
743 * Changes in the 'make test' framework and auxiliary test tools:
744 - Added autotest.sh -p and -f options
745 - Replaced autotest.sh ISIM support with XSIM support
746 - Added test cases for SAT framework
747
748 * Added "abbreviated IDs":
749 - Now $<something>$foo can be abbreviated as $foo.
750 - Usually this last part is a unique id (from RTLIL::autoidx)
751 - This abbreviated IDs are now also used in "show" output
752
753 * Other changes to selection framework:
754 - Now */ is optional in */<mode>:<arg> expressions
755 - Added "select -assert-none" and "select -assert-any"
756 - Added support for matching modules by attribute (A:<expr>)
757 - Added "select -none"
758 - Added support for r:<expr> pattern for matching cell parameters
759 - Added support for !=, <, <=, >=, > for attribute and parameter matching
760 - Added support for %s for selecting sub-modules
761 - Added support for %m for expanding selections to whole modules
762 - Added support for i:*, o:* and x:* pattern for selecting module ports
763 - Added support for s:<expr> pattern for matching wire width
764 - Added support for %a operation to select wire aliases
765
766 * Various other changes to commands and options:
767 - The "ls" command now supports wildcards
768 - Added "show -pause" and "show -format dot"
769 - Added "show -color" support for cells
770 - Added "show -label" and "show -notitle"
771 - Added "dump -m" and "dump -n"
772 - Added "history" command
773 - Added "rename -hide"
774 - Added "connect" command
775 - Added "splitnets -driver"
776 - Added "opt_const -mux_undef"
777 - Added "opt_const -mux_bool"
778 - Added "opt_const -undriven"
779 - Added "opt -mux_undef -mux_bool -undriven -purge"
780 - Added "hierarchy -libdir"
781 - Added "hierarchy -purge_lib" (by default now do not remove lib cells)
782 - Added "delete" command
783 - Added "dump -append"
784 - Added "setattr" and "setparam" commands
785 - Added "design -stash/-copy-from/-copy-to"
786 - Added "copy" command
787 - Added "splice" command
788