Merge branch 'eddie/clkpart' into xaig_dff
[yosys.git] / CHANGELOG
1
2 List of major changes and improvements between releases
3 =======================================================
4
5
6 Yosys 0.9 .. Yosys 0.9-dev
7 --------------------------
8
9 * Various
10 - Added "write_xaiger" backend
11 - Added "abc9" pass for timing-aware techmapping (experimental, FPGA only, no FFs)
12 - Added "synth_xilinx -abc9" (experimental)
13 - Added "synth_ice40 -abc9" (experimental)
14 - Added "synth -abc9" (experimental)
15 - Added "script -scriptwire"
16 - Added "synth_xilinx -nocarry"
17 - Added "synth_xilinx -nowidelut"
18 - Added "synth_ecp5 -nowidelut"
19 - "synth_xilinx" to now infer wide multiplexers (-widemux <min> to enable)
20 - Renamed labels/options in synth_ice40 (e.g. dram -> map_lutram; -nodram -> -nolutram)
21 - Renamed labels/options in synth_ecp5 (e.g. dram -> map_lutram; -nodram -> -nolutram)
22 - Renamed labels in synth_intel (e.g. bram -> map_bram)
23 - Renamed labels/options in synth_xilinx (e.g. dram -> map_lutram; -nodram -> -nolutram)
24 - Added automatic gzip decompression for frontends
25 - Added $_NMUX_ cell type
26 - Added automatic gzip compression (based on filename extension) for backends
27 - Improve attribute and parameter encoding in JSON to avoid ambiguities between
28 bit vectors and strings containing [01xz]*
29 - Added "clkbufmap" pass
30 - Added "extractinv" pass and "invertible_pin" attribute
31 - Added "synth_xilinx -family xc6s" for Spartan 6 support (experimental)
32 - Added "synth_xilinx -ise" (experimental)
33 - Added "synth_xilinx -iopad"
34 - "synth_xilinx" now automatically inserts clock buffers (add -noclkbuf to disable)
35 - Improvements in pmgen: subpattern and recursive matches
36 - Added "opt_share" pass, run as part of "opt -full"
37 - Added "ice40_wrapcarry" to encapsulate SB_LUT+SB_CARRY pairs for techmapping
38 - Removed "ice40_unlut"
39 - Improvements in pmgen: slices, choices, define, generate
40 - Added "xilinx_srl" for Xilinx shift register extraction
41 - Removed "shregmap -tech xilinx" (superseded by "xilinx_srl")
42 - Added "_TECHMAP_WIREINIT_*_" attribute and "_TECHMAP_REMOVEINIT_*_" wire for "techmap" pass
43 - Added "-match-init" option to "dff2dffs" pass
44 - Added "techmap_autopurge" support to techmap
45 - Added "add -mod <modname[s]>"
46 - Added +/mul2dsp.v for decomposing wide multipliers to custom-sized ones
47 - Added "ice40_dsp" for Lattice iCE40 DSP packing
48 - Added "xilinx_dsp" for Xilinx DSP packing
49 - "synth_xilinx" to now infer DSP blocks (-nodsp to disable)
50 - "synth_ecp5" to now infer DSP blocks (-nodsp to disable, experimental)
51 - "synth_ice40 -dsp" to infer DSP blocks
52 - Added latch support to synth_xilinx
53 - Added "check -mapped"
54 - Added checking of SystemVerilog always block types (always_comb,
55 always_latch and always_ff)
56 - Added "clkpart" pass
57
58 Yosys 0.8 .. Yosys 0.9
59 ----------------------
60
61 * Various
62 - Many bugfixes and small improvements
63 - Added support for SystemVerilog interfaces and modports
64 - Added "write_edif -attrprop"
65 - Added "opt_lut" pass
66 - Added "gate2lut.v" techmap rule
67 - Added "rename -src"
68 - Added "equiv_opt" pass
69 - Added "flowmap" LUT mapping pass
70 - Added "rename -wire" to rename cells based on the wires they drive
71 - Added "bugpoint" for creating minimised testcases
72 - Added "write_edif -gndvccy"
73 - "write_verilog" to escape Verilog keywords
74 - Fixed sign handling of real constants
75 - "write_verilog" to write initial statement for initial flop state
76 - Added pmgen pattern matcher generator
77 - Fixed opt_rmdff handling of $_DFFSR_???_ and $_DLATCHSR_???_
78 - Added "setundef -params" to replace undefined cell parameters
79 - Renamed "yosys -D" to "yosys -U", added "yosys -D" to set Verilog defines
80 - Fixed handling of defparam when default_nettype is none
81 - Fixed "wreduce" flipflop handling
82 - Fixed FIRRTL to Verilog process instance subfield assignment
83 - Added "write_verilog -siminit"
84 - Several fixes and improvements for mem2reg memories
85 - Fixed handling of task output ports in clocked always blocks
86 - Improved handling of and-with-1 and or-with-0 in "opt_expr"
87 - Added "read_aiger" frontend
88 - Added "mutate" pass
89 - Added "hdlname" attribute
90 - Added "rename -output"
91 - Added "read_ilang -lib"
92 - Improved "proc" full_case detection and handling
93 - Added "whitebox" and "lib_whitebox" attributes
94 - Added "read_verilog -nowb", "flatten -wb" and "wbflip"
95 - Added Python bindings and support for Python plug-ins
96 - Added "pmux2shiftx"
97 - Added log_debug framework for reduced default verbosity
98 - Improved "opt_expr" and "opt_clean" handling of (partially) undriven and/or unused wires
99 - Added "peepopt" peephole optimisation pass using pmgen
100 - Added approximate support for SystemVerilog "var" keyword
101 - Added parsing of "specify" blocks into $specrule and $specify[23]
102 - Added support for attributes on parameters and localparams
103 - Added support for parsing attributes on port connections
104 - Added "wreduce -keepdc"
105 - Added support for optimising $dffe and $_DFFE_* cells in "opt_rmdff"
106 - Added Verilog wand/wor wire type support
107 - Added support for elaboration system tasks
108 - Added "muxcover -mux{4,8,16}=<cost>"
109 - Added "muxcover -dmux=<cost>"
110 - Added "muxcover -nopartial"
111 - Added "muxpack" pass
112 - Added "pmux2shiftx -norange"
113 - Added support for "~" in filename parsing
114 - Added "read_verilog -pwires" feature to turn parameters into wires
115 - Fixed sign extension of unsized constants with 'bx and 'bz MSB
116 - Fixed genvar to be a signed type
117 - Added support for attributes on case rules
118 - Added "upto" and "offset" to JSON frontend and backend
119 - Several liberty file parser improvements
120 - Fixed handling of more complex BRAM patterns
121 - Add "write_aiger -I -O -B"
122
123 * Formal Verification
124 - Added $changed support to read_verilog
125 - Added "read_verilog -noassert -noassume -assert-assumes"
126 - Added btor ops for $mul, $div, $mod and $concat
127 - Added yosys-smtbmc support for btor witnesses
128 - Added "supercover" pass
129 - Fixed $global_clock handling vs autowire
130 - Added $dffsr support to "async2sync"
131 - Added "fmcombine" pass
132 - Added memory init support in "write_btor"
133 - Added "cutpoint" pass
134 - Changed "ne" to "neq" in btor2 output
135 - Added support for SVA "final" keyword
136 - Added "fmcombine -initeq -anyeq"
137 - Added timescale and generated-by header to yosys-smtbmc vcd output
138 - Improved BTOR2 handling of undriven wires
139
140 * Verific support
141 - Enabled Verific flags vhdl_support_variable_slice and veri_elaborate_top_level_modules_having_interface_ports
142 - Improved support for asymmetric memories
143 - Added "verific -chparam"
144 - Fixed "verific -extnets" for more complex situations
145 - Added "read -verific" and "read -noverific"
146 - Added "hierarchy -chparam"
147
148 * New back-ends
149 - Added initial Anlogic support
150 - Added initial SmartFusion2 and IGLOO2 support
151
152 * ECP5 support
153 - Added "synth_ecp5 -nowidelut"
154 - Added BRAM inference support to "synth_ecp5"
155 - Added support for transforming Diamond IO and flipflop primitives
156
157 * iCE40 support
158 - Added "ice40_unlut" pass
159 - Added "synth_ice40 -relut"
160 - Added "synth_ice40 -noabc"
161 - Added "synth_ice40 -dffe_min_ce_use"
162 - Added DSP inference support using pmgen
163 - Added support for initialising BRAM primitives from a file
164 - Added iCE40 Ultra RGB LED driver cells
165
166 * Xilinx support
167 - Use "write_edif -pvector bra" for Xilinx EDIF files
168 - Fixes for VPR place and route support with "synth_xilinx"
169 - Added more cell simulation models
170 - Added "synth_xilinx -family"
171 - Added "stat -tech xilinx" to estimate logic cell usage
172 - Added "synth_xilinx -nocarry"
173 - Added "synth_xilinx -nowidelut"
174 - "synth_xilinx" to now infer hard shift registers (-nosrl to disable)
175 - Added support for mapping RAM32X1D
176
177 Yosys 0.7 .. Yosys 0.8
178 ----------------------
179
180 * Various
181 - Many bugfixes and small improvements
182 - Strip debug symbols from installed binary
183 - Replace -ignore_redef with -[no]overwrite in front-ends
184 - Added write_verilog hex dump support, add -nohex option
185 - Added "write_verilog -decimal"
186 - Added "scc -set_attr"
187 - Added "verilog_defines" command
188 - Remember defines from one read_verilog to next
189 - Added support for hierarchical defparam
190 - Added FIRRTL back-end
191 - Improved ABC default scripts
192 - Added "design -reset-vlog"
193 - Added "yosys -W regex", "yosys -w regex", and "yosys -e regex"
194 - Added Verilog $rtoi and $itor support
195 - Added "check -initdrv"
196 - Added "read_blif -wideports"
197 - Added support for SystemVerilog "++" and "--" operators
198 - Added support for SystemVerilog unique, unique0, and priority case
199 - Added "write_edif" options for edif "flavors"
200 - Added support for resetall compiler directive
201 - Added simple C beck-end (bitwise combinatorical only atm)
202 - Added $_ANDNOT_ and $_ORNOT_ cell types
203 - Added cell library aliases to "abc -g"
204 - Added "setundef -anyseq"
205 - Added "chtype" command
206 - Added "design -import"
207 - Added "write_table" command
208 - Added "read_json" command
209 - Added "sim" command
210 - Added "extract_fa" and "extract_reduce" commands
211 - Added "extract_counter" command
212 - Added "opt_demorgan" command
213 - Added support for $size and $bits SystemVerilog functions
214 - Added "blackbox" command
215 - Added "ltp" command
216 - Added support for editline as replacement for readline
217 - Added warnings for driver-driver conflicts between FFs (and other cells) and constants
218 - Added "yosys -E" for creating Makefile dependencies files
219 - Added "synth -noshare"
220 - Added "memory_nordff"
221 - Added "setundef -undef -expose -anyconst"
222 - Added "expose -input"
223 - Added specify/specparam parser support (simply ignore them)
224 - Added "write_blif -inames -iattr"
225 - Added "hierarchy -simcheck"
226 - Added an option to statically link abc into yosys
227 - Added protobuf back-end
228 - Added BLIF parsing support for .conn and .cname
229 - Added read_verilog error checking for reg/wire/logic misuse
230 - Added "make coverage" and ENABLE_GCOV build option
231
232 * Changes in Yosys APIs
233 - Added ConstEval defaultval feature
234 - Added {get,set}_src_attribute() methods on RTLIL::AttrObject
235 - Added SigSpec::is_fully_ones() and Const::is_fully_ones()
236 - Added log_file_warning() and log_file_error() functions
237
238 * Formal Verification
239 - Added "write_aiger"
240 - Added "yosys-smtbmc --aig"
241 - Added "always <positive_int>" to .smtc format
242 - Added $cover cell type and support for cover properties
243 - Added $fair/$live cell type and support for liveness properties
244 - Added smtbmc support for memory vcd dumping
245 - Added "chformal" command
246 - Added "write_smt2 -stbv" and "write_smt2 -stdt"
247 - Fix equiv_simple, old behavior now available with "equiv_simple -short"
248 - Change to Yices2 as default SMT solver (it is GPL now)
249 - Added "yosys-smtbmc --presat" (now default in SymbiYosys)
250 - Added "yosys-smtbmc --smtc-init --smtc-top --noinit"
251 - Added a brand new "write_btor" command for BTOR2
252 - Added clk2fflogic memory support and other improvements
253 - Added "async memory write" support to write_smt2
254 - Simulate clock toggling in yosys-smtbmc VCD output
255 - Added $allseq/$allconst cells for EA-solving
256 - Make -nordff the default in "prep"
257 - Added (* gclk *) attribute
258 - Added "async2sync" pass for single-clock designs with async resets
259
260 * Verific support
261 - Many improvements in Verific front-end
262 - Added proper handling of concurent SVA properties
263 - Map "const" and "rand const" to $anyseq/$anyconst
264 - Added "verific -import -flatten" and "verific -import -extnets"
265 - Added "verific -vlog-incdir -vlog-define -vlog-libdir"
266 - Remove PSL support (because PSL has been removed in upstream Verific)
267 - Improve integration with "hierarchy" command design elaboration
268 - Added YOSYS_NOVERIFIC for running non-verific test cases with verific bin
269 - Added simpilied "read" command that automatically uses verific if available
270 - Added "verific -set-<severity> <msg_id>.."
271 - Added "verific -work <libname>"
272
273 * New back-ends
274 - Added initial Coolrunner-II support
275 - Added initial eASIC support
276 - Added initial ECP5 support
277
278 * GreenPAK Support
279 - Added support for GP_DLATCH, GP_SPI, GP_DCMx, GP_COUNTx, etc.
280
281 * iCE40 Support
282 - Add "synth_ice40 -vpr"
283 - Add "synth_ice40 -nodffe"
284 - Add "synth_ice40 -json"
285 - Add Support for UltraPlus cells
286
287 * MAX10 and Cyclone IV Support
288 - Added initial version of metacommand "synth_intel".
289 - Improved write_verilog command to produce VQM netlist for Quartus Prime.
290 - Added support for MAX10 FPGA family synthesis.
291 - Added support for Cyclone IV family synthesis.
292 - Added example of implementation for DE2i-150 board.
293 - Added example of implementation for MAX10 development kit.
294 - Added LFSR example from Asic World.
295 - Added "dffinit -highlow" for mapping to Intel primitives
296
297
298 Yosys 0.6 .. Yosys 0.7
299 ----------------------
300
301 * Various
302 - Added "yosys -D" feature
303 - Added support for installed plugins in $(DATDIR)/plugins/
304 - Renamed opt_const to opt_expr
305 - Renamed opt_share to opt_merge
306 - Added "prep -flatten" and "synth -flatten"
307 - Added "prep -auto-top" and "synth -auto-top"
308 - Using "mfs" and "lutpack" in ABC lut mapping
309 - Support for abstract modules in chparam
310 - Cleanup abstract modules at end of "hierarchy -top"
311 - Added tristate buffer support to iopadmap
312 - Added opt_expr support for div/mod by power-of-two
313 - Added "select -assert-min <N> -assert-max <N>"
314 - Added "attrmvcp" pass
315 - Added "attrmap" command
316 - Added "tee +INT -INT"
317 - Added "zinit" pass
318 - Added "setparam -type"
319 - Added "shregmap" pass
320 - Added "setundef -init"
321 - Added "nlutmap -assert"
322 - Added $sop cell type and "abc -sop -I <num> -P <num>"
323 - Added "dc2" to default ABC scripts
324 - Added "deminout"
325 - Added "insbuf" command
326 - Added "prep -nomem"
327 - Added "opt_rmdff -keepdc"
328 - Added "prep -nokeepdc"
329 - Added initial version of "synth_gowin"
330 - Added "fsm_expand -full"
331 - Added support for fsm_encoding="user"
332 - Many improvements in GreenPAK4 support
333 - Added black box modules for all Xilinx 7-series lib cells
334 - Added synth_ice40 support for latches via logic loops
335 - Fixed ice40_opt lut unmapping, added "ice40_opt -unlut"
336
337 * Build System
338 - Added ABCEXTERNAL and ABCURL make variables
339 - Added BINDIR, LIBDIR, and DATDIR make variables
340 - Added PKG_CONFIG make variable
341 - Added SEED make variable (for "make test")
342 - Added YOSYS_VER_STR make variable
343 - Updated min GCC requirement to GCC 4.8
344 - Updated required Bison version to Bison 3.x
345
346 * Internal APIs
347 - Added ast.h to exported headers
348 - Added ScriptPass helper class for script-like passes
349 - Added CellEdgesDatabase API
350
351 * Front-ends and Back-ends
352 - Added filename glob support to all front-ends
353 - Added avail (black-box) module params to ilang format
354 - Added $display %m support
355 - Added support for $stop Verilog system task
356 - Added support for SystemVerilog packages
357 - Fixed procedural assignments to non-unique lvalues, e.g. {y,y} = {a,b}
358 - Added support for "active high" and "active low" latches in read_blif and write_blif
359 - Use init value "2" for all uninitialized FFs in BLIF back-end
360 - Added "read_blif -sop"
361 - Added "write_blif -noalias"
362 - Added various write_blif options for VTR support
363 - write_json: also write module attributes.
364 - Added "write_verilog -nodec -nostr -defparam"
365 - Added "read_verilog -norestrict -assume-asserts"
366 - Added support for bus interfaces to "read_liberty -lib"
367 - Added liberty parser support for types within cell decls
368 - Added "write_verilog -renameprefix -v"
369 - Added "write_edif -nogndvcc"
370
371 * Formal Verification
372 - Support for hierarchical designs in smt2 back-end
373 - Yosys-smtbmc: Support for hierarchical VCD dumping
374 - Added $initstate cell type and vlog function
375 - Added $anyconst and $anyseq cell types and vlog functions
376 - Added printing of code loc of failed asserts to yosys-smtbmc
377 - Added memory_memx pass, "memory -memx", and "prep -memx"
378 - Added "proc_mux -ifx"
379 - Added "yosys-smtbmc -g"
380 - Deprecated "write_smt2 -regs" (by default on now)
381 - Made "write_smt2 -bv -mem" default, added "write_smt2 -nobv -nomem"
382 - Added support for memories to smtio.py
383 - Added "yosys-smtbmc --dump-vlogtb"
384 - Added "yosys-smtbmc --smtc --dump-smtc"
385 - Added "yosys-smtbmc --dump-all"
386 - Added assertpmux command
387 - Added "yosys-smtbmc --unroll"
388 - Added $past, $stable, $rose, $fell SVA functions
389 - Added "yosys-smtbmc --noinfo and --dummy"
390 - Added "yosys-smtbmc --noincr"
391 - Added "yosys-smtbmc --cex <filename>"
392 - Added $ff and $_FF_ cell types
393 - Added $global_clock verilog syntax support for creating $ff cells
394 - Added clk2fflogic
395
396
397 Yosys 0.5 .. Yosys 0.6
398 ----------------------
399
400 * Various
401 - Added Contributor Covenant Code of Conduct
402 - Various improvements in dict<> and pool<>
403 - Added hashlib::mfp and refactored SigMap
404 - Improved support for reals as module parameters
405 - Various improvements in SMT2 back-end
406 - Added "keep_hierarchy" attribute
407 - Verilog front-end: define `BLACKBOX in -lib mode
408 - Added API for converting internal cells to AIGs
409 - Added ENABLE_LIBYOSYS Makefile option
410 - Removed "techmap -share_map" (use "-map +/filename" instead)
411 - Switched all Python scripts to Python 3
412 - Added support for $display()/$write() and $finish() to Verilog front-end
413 - Added "yosys-smtbmc" formal verification flow
414 - Added options for clang sanitizers to Makefile
415
416 * New commands and options
417 - Added "scc -expect <N> -nofeedback"
418 - Added "proc_dlatch"
419 - Added "check"
420 - Added "select %xe %cie %coe %M %C %R"
421 - Added "sat -dump_json" (WaveJSON format)
422 - Added "sat -tempinduct-baseonly -tempinduct-inductonly"
423 - Added "sat -stepsize" and "sat -tempinduct-step"
424 - Added "sat -show-regs -show-public -show-all"
425 - Added "write_json" (Native Yosys JSON format)
426 - Added "write_blif -attr"
427 - Added "dffinit"
428 - Added "chparam"
429 - Added "muxcover"
430 - Added "pmuxtree"
431 - Added memory_bram "make_outreg" feature
432 - Added "splice -wires"
433 - Added "dff2dffe -direct-match"
434 - Added simplemap $lut support
435 - Added "read_blif"
436 - Added "opt_share -share_all"
437 - Added "aigmap"
438 - Added "write_smt2 -mem -regs -wires"
439 - Added "memory -nordff"
440 - Added "write_smv"
441 - Added "synth -nordff -noalumacc"
442 - Added "rename -top new_name"
443 - Added "opt_const -clkinv"
444 - Added "synth -nofsm"
445 - Added "miter -assert"
446 - Added "read_verilog -noautowire"
447 - Added "read_verilog -nodpi"
448 - Added "tribuf"
449 - Added "lut2mux"
450 - Added "nlutmap"
451 - Added "qwp"
452 - Added "test_cell -noeval"
453 - Added "edgetypes"
454 - Added "equiv_struct"
455 - Added "equiv_purge"
456 - Added "equiv_mark"
457 - Added "equiv_add -try -cell"
458 - Added "singleton"
459 - Added "abc -g -luts"
460 - Added "torder"
461 - Added "write_blif -cname"
462 - Added "submod -copy"
463 - Added "dffsr2dff"
464 - Added "stat -liberty"
465
466 * Synthesis metacommands
467 - Various improvements in synth_xilinx
468 - Added synth_ice40 and synth_greenpak4
469 - Added "prep" metacommand for "synthesis lite"
470
471 * Cell library changes
472 - Added cell types to "help" system
473 - Added $meminit cell type
474 - Added $assume cell type
475 - Added $_MUX4_, $_MUX8_, and $_MUX16_ cells
476 - Added $tribuf and $_TBUF_ cell types
477 - Added read-enable to memory model
478
479 * YosysJS
480 - Various improvements in emscripten build
481 - Added alternative webworker-based JS API
482 - Added a few example applications
483
484
485 Yosys 0.4 .. Yosys 0.5
486 ----------------------
487
488 * API changes
489 - Added log_warning()
490 - Added eval_select_args() and eval_select_op()
491 - Added cell->known(), cell->input(portname), cell->output(portname)
492 - Skip blackbox modules in design->selected_modules()
493 - Replaced std::map<> and std::set<> with dict<> and pool<>
494 - New SigSpec::extend() is what used to be SigSpec::extend_u0()
495 - Added YS_OVERRIDE, YS_FINAL, YS_ATTRIBUTE, YS_NORETURN
496
497 * Cell library changes
498 - Added flip-flops with enable ($dffe etc.)
499 - Added $equiv cells for equivalence checking framework
500
501 * Various
502 - Updated ABC to hg rev 61ad5f908c03
503 - Added clock domain partitioning to ABC pass
504 - Improved plugin building (see "yosys-config --build")
505 - Added ENABLE_NDEBUG Makefile flag for high-performance builds
506 - Added "yosys -d", "yosys -L" and other driver improvements
507 - Added support for multi-bit (array) cell ports to "write_edif"
508 - Now printing most output to stdout, not stderr
509 - Added "onehot" attribute (set by "fsm_map")
510 - Various performance improvements
511 - Vastly improved Xilinx flow
512 - Added "make unsintall"
513
514 * Equivalence checking
515 - Added equivalence checking commands:
516 equiv_make equiv_simple equiv_status
517 equiv_induct equiv_miter
518 equiv_add equiv_remove
519
520 * Block RAM support:
521 - Added "memory_bram" command
522 - Added BRAM support to Xilinx flow
523
524 * Other New Commands and Options
525 - Added "dff2dffe"
526 - Added "fsm -encfile"
527 - Added "dfflibmap -prepare"
528 - Added "write_blid -unbuf -undef -blackbox"
529 - Added "write_smt2" for writing SMT-LIBv2 files
530 - Added "test_cell -w -muxdiv"
531 - Added "select -read"
532
533
534 Yosys 0.3.0 .. Yosys 0.4
535 ------------------------
536
537 * Platform Support
538 - Added support for mxe-based cross-builds for win32
539 - Added sourcecode-export as VisualStudio project
540 - Added experimental EMCC (JavaScript) support
541
542 * Verilog Frontend
543 - Added -sv option for SystemVerilog (and automatic *.sv file support)
544 - Added support for real-valued constants and constant expressions
545 - Added support for non-standard "via_celltype" attribute on task/func
546 - Added support for non-standard "module mod_name(...);" syntax
547 - Added support for non-standard """ macro bodies
548 - Added support for array with more than one dimension
549 - Added support for $readmemh and $readmemb
550 - Added support for DPI functions
551
552 * Changes in internal cell library
553 - Added $shift and $shiftx cell types
554 - Added $alu, $lcu, $fa and $macc cell types
555 - Removed $bu0 and $safe_pmux cell types
556 - $mem/$memwr WR_EN input is now a per-data-bit enable signal
557 - Added $_NAND_ $_NOR_ $_XNOR_ $_AOI3_ $_OAI3_ $_AOI4_ $_OAI4_
558 - Renamed ports of $lut cells (from I->O to A->Y)
559 - Renamed $_INV_ to $_NOT_
560
561 * Changes for simple synthesis flows
562 - There is now a "synth" command with a recommended default script
563 - Many improvements in synthesis of arithmetic functions to gates
564 - Multipliers and adders with many operands are using carry-save adder trees
565 - Remaining adders are now implemented using Brent-Kung carry look-ahead adders
566 - Various new high-level optimizations on RTL netlist
567 - Various improvements in FSM optimization
568 - Updated ABC to hg 5b5af75f1dda (from 2014-11-07)
569
570 * Changes in internal APIs and RTLIL
571 - Added log_id() and log_cell() helper functions
572 - Added function-like cell creation helpers
573 - Added GetSize() function (like .size() but with int)
574 - Major refactoring of RTLIL::Module and related classes
575 - Major refactoring of RTLIL::SigSpec and related classes
576 - Now RTLIL::IdString is essentially an int
577 - Added macros for code coverage counters
578 - Added some Makefile magic for pretty make logs
579 - Added "kernel/yosys.h" with all the core definitions
580 - Changed a lot of code from FILE* to c++ streams
581 - Added RTLIL::Monitor API and "trace" command
582 - Added "Yosys" C++ namespace
583
584 * Changes relevant to SAT solving
585 - Added ezSAT::keep_cnf() and ezSAT::non_incremental()
586 - Added native ezSAT support for vector shift ops
587 - Updated MiniSAT to git 37dc6c67e2 (from 2013-09-25)
588
589 * New commands (or large improvements to commands)
590 - Added "synth" command with default script
591 - Added "share" (finally some real resource sharing)
592 - Added "memory_share" (reduce number of ports on memories)
593 - Added "wreduce" and "alumacc" commands
594 - Added "opt -keepdc -fine -full -fast"
595 - Added some "test_*" commands
596
597 * Various other changes
598 - Added %D and %c select operators
599 - Added support for labels in yosys scripts
600 - Added support for here-documents in yosys scripts
601 - Support "+/" prefix for files from proc_share_dir
602 - Added "autoidx" statement to ilang language
603 - Switched from "yosys-svgviewer" to "xdot"
604 - Renamed "stdcells.v" to "techmap.v"
605 - Various bug fixes and small improvements
606 - Improved welcome and bye messages
607
608
609 Yosys 0.2.0 .. Yosys 0.3.0
610 --------------------------
611
612 * Driver program and overall behavior:
613 - Added "design -push" and "design -pop"
614 - Added "tee" command for redirecting log output
615
616 * Changes in the internal cell library:
617 - Added $dlatchsr and $_DLATCHSR_???_ cell types
618
619 * Improvements in Verilog frontend:
620 - Improved support for const functions (case, always, repeat)
621 - The generate..endgenerate keywords are now optional
622 - Added support for arrays of module instances
623 - Added support for "`default_nettype" directive
624 - Added support for "`line" directive
625
626 * Other front- and back-ends:
627 - Various changes to "write_blif" options
628 - Various improvements in EDIF backend
629 - Added "vhdl2verilog" pseudo-front-end
630 - Added "verific" pseudo-front-end
631
632 * Improvements in technology mapping:
633 - Added support for recursive techmap
634 - Added CONSTMSK and CONSTVAL features to techmap
635 - Added _TECHMAP_CONNMAP_*_ feature to techmap
636 - Added _TECHMAP_REPLACE_ feature to techmap
637 - Added "connwrappers" command for wrap-extract-unwrap method
638 - Added "extract -map %<design_name>" feature
639 - Added "extract -ignore_param ..." and "extract -ignore_parameters"
640 - Added "techmap -max_iter" option
641
642 * Improvements to "eval" and "sat" framework:
643 - Now include a copy of Minisat (with build fixes applied)
644 - Switched to Minisat::SimpSolver as SAT back-end
645 - Added "sat -dump_vcd" feature
646 - Added "sat -dump_cnf" feature
647 - Added "sat -initsteps <N>" feature
648 - Added "freduce -stop <N>" feature
649 - Added "freduce -dump <prefix>" feature
650
651 * Integration with ABC:
652 - Updated ABC rev to 7600ffb9340c
653
654 * Improvements in the internal APIs:
655 - Added RTLIL::Module::add... helper methods
656 - Various build fixes for OSX (Darwin) and OpenBSD
657
658
659 Yosys 0.1.0 .. Yosys 0.2.0
660 --------------------------
661
662 * Changes to the driver program:
663 - Added "yosys -h" and "yosys -H"
664 - Added support for backslash line continuation in scripts
665 - Added support for #-comments in same line as command
666 - Added "echo" and "log" commands
667
668 * Improvements in Verilog frontend:
669 - Added support for local registers in named blocks
670 - Added support for "case" in "generate" blocks
671 - Added support for $clog2 system function
672 - Added support for basic SystemVerilog assert statements
673 - Added preprocessor support for macro arguments
674 - Added preprocessor support for `elsif statement
675 - Added "verilog_defaults" command
676 - Added read_verilog -icells option
677 - Added support for constant sizes from parameters
678 - Added "read_verilog -setattr"
679 - Added support for function returning 'integer'
680 - Added limited support for function calls in parameter values
681 - Added "read_verilog -defer" to suppress evaluation of modules with default parameters
682
683 * Other front- and back-ends:
684 - Added BTOR backend
685 - Added Liberty frontend
686
687 * Improvements in technology mapping:
688 - The "dfflibmap" command now strongly prefers solutions with
689 no inverters in clock paths
690 - The "dfflibmap" command now prefers cells with smaller area
691 - Added support for multiple -map options to techmap
692 - Added "dfflibmap" support for //-comments in liberty files
693 - Added "memory_unpack" command to revert "memory_collect"
694 - Added standard techmap rule "techmap -share_map pmux2mux.v"
695 - Added "iopadmap -bits"
696 - Added "setundef" command
697 - Added "hilomap" command
698
699 * Changes in the internal cell library:
700 - Major rewrite of simlib.v for better compatibility with other tools
701 - Added PRIORITY parameter to $memwr cells
702 - Added TRANSPARENT parameter to $memrd cells
703 - Added RD_TRANSPARENT parameter to $mem cells
704 - Added $bu0 cell (always 0-extend, even undef MSB)
705 - Added $assert cell type
706 - Added $slice and $concat cell types
707
708 * Integration with ABC:
709 - Updated ABC to hg rev 2058c8ccea68
710 - Tighter integration of ABC build with Yosys build. The make
711 targets 'make abc' and 'make install-abc' are now obsolete.
712 - Added support for passing FFs from one clock domain through ABC
713 - Now always use BLIF as exchange format with ABC
714 - Added support for "abc -script +<command_sequence>"
715 - Improved standard ABC recipe
716 - Added support for "keep" attribute to abc command
717 - Added "abc -dff / -clk / -keepff" options
718
719 * Improvements to "eval" and "sat" framework:
720 - Added support for "0" and "~0" in right-hand side -set expressions
721 - Added "eval -set-undef" and "eval -table"
722 - Added "sat -set-init" and "sat -set-init-*" for sequential problems
723 - Added undef support to SAT solver, incl. various new "sat" options
724 - Added correct support for === and !== for "eval" and "sat"
725 - Added "sat -tempinduct" (default -seq is now non-induction sequential)
726 - Added "sat -prove-asserts"
727 - Complete rewrite of the 'freduce' command
728 - Added "miter" command
729 - Added "sat -show-inputs" and "sat -show-outputs"
730 - Added "sat -ignore_unknown_cells" (now produce an error by default)
731 - Added "sat -falsify"
732 - Now "sat -verify" and "sat -falsify" can also be used without "-prove"
733 - Added "expose" command
734 - Added support for @<sel_name> to sat and eval signal expressions
735
736 * Changes in the 'make test' framework and auxiliary test tools:
737 - Added autotest.sh -p and -f options
738 - Replaced autotest.sh ISIM support with XSIM support
739 - Added test cases for SAT framework
740
741 * Added "abbreviated IDs":
742 - Now $<something>$foo can be abbreviated as $foo.
743 - Usually this last part is a unique id (from RTLIL::autoidx)
744 - This abbreviated IDs are now also used in "show" output
745
746 * Other changes to selection framework:
747 - Now */ is optional in */<mode>:<arg> expressions
748 - Added "select -assert-none" and "select -assert-any"
749 - Added support for matching modules by attribute (A:<expr>)
750 - Added "select -none"
751 - Added support for r:<expr> pattern for matching cell parameters
752 - Added support for !=, <, <=, >=, > for attribute and parameter matching
753 - Added support for %s for selecting sub-modules
754 - Added support for %m for expanding selections to whole modules
755 - Added support for i:*, o:* and x:* pattern for selecting module ports
756 - Added support for s:<expr> pattern for matching wire width
757 - Added support for %a operation to select wire aliases
758
759 * Various other changes to commands and options:
760 - The "ls" command now supports wildcards
761 - Added "show -pause" and "show -format dot"
762 - Added "show -color" support for cells
763 - Added "show -label" and "show -notitle"
764 - Added "dump -m" and "dump -n"
765 - Added "history" command
766 - Added "rename -hide"
767 - Added "connect" command
768 - Added "splitnets -driver"
769 - Added "opt_const -mux_undef"
770 - Added "opt_const -mux_bool"
771 - Added "opt_const -undriven"
772 - Added "opt -mux_undef -mux_bool -undriven -purge"
773 - Added "hierarchy -libdir"
774 - Added "hierarchy -purge_lib" (by default now do not remove lib cells)
775 - Added "delete" command
776 - Added "dump -append"
777 - Added "setattr" and "setparam" commands
778 - Added "design -stash/-copy-from/-copy-to"
779 - Added "copy" command
780 - Added "splice" command
781