Merge remote-tracking branch 'origin/master' into xaig
[yosys.git] / CHANGELOG
1
2 List of major changes and improvements between releases
3 =======================================================
4
5
6 Yosys 0.8 .. Yosys 0.8-dev
7 --------------------------
8
9 * Various
10 - Added $changed support to read_verilog
11 - Added "write_edif -attrprop"
12 - Added "ice40_unlut" pass
13 - Added "opt_lut" pass
14 - Added "synth_ice40 -relut"
15 - Added "synth_ice40 -noabc"
16 - Added "gate2lut.v" techmap rule
17 - Added "rename -src"
18 - Added "equiv_opt" pass
19 - Added "shregmap -tech xilinx"
20 - Added "read_aiger" frontend
21 - Added "muxcover -mux{4,8,16}=<cost>"
22 - Added "muxcover -dmux=<cost>"
23 - Added "muxcover -nopartial"
24 - Added "abc9" pass for timing-aware techmapping (experimental, FPGA only, no FFs)
25 - Added "synth_xilinx -abc9" (experimental)
26 - Added "synth_ice40 -abc9" (experimental)
27 - Added "synth -abc9" (experimental)
28 - "synth_xilinx" to now infer hard shift registers (-nosrl to disable)
29 - Fixed sign extension of unsized constants with 'bx and 'bz MSB
30
31
32 Yosys 0.7 .. Yosys 0.8
33 ----------------------
34
35 * Various
36 - Many bugfixes and small improvements
37 - Strip debug symbols from installed binary
38 - Replace -ignore_redef with -[no]overwrite in front-ends
39 - Added write_verilog hex dump support, add -nohex option
40 - Added "write_verilog -decimal"
41 - Added "scc -set_attr"
42 - Added "verilog_defines" command
43 - Remember defines from one read_verilog to next
44 - Added support for hierarchical defparam
45 - Added FIRRTL back-end
46 - Improved ABC default scripts
47 - Added "design -reset-vlog"
48 - Added "yosys -W regex", "yosys -w regex", and "yosys -e regex"
49 - Added Verilog $rtoi and $itor support
50 - Added "check -initdrv"
51 - Added "read_blif -wideports"
52 - Added support for systemVerilog "++" and "--" operators
53 - Added support for SystemVerilog unique, unique0, and priority case
54 - Added "write_edif" options for edif "flavors"
55 - Added support for resetall compiler directive
56 - Added simple C beck-end (bitwise combinatorical only atm)
57 - Added $_ANDNOT_ and $_ORNOT_ cell types
58 - Added cell library aliases to "abc -g"
59 - Added "setundef -anyseq"
60 - Added "chtype" command
61 - Added "design -import"
62 - Added "write_table" command
63 - Added "read_json" command
64 - Added "sim" command
65 - Added "extract_fa" and "extract_reduce" commands
66 - Added "extract_counter" command
67 - Added "opt_demorgan" command
68 - Added support for $size and $bits SystemVerilog functions
69 - Added "blackbox" command
70 - Added "ltp" command
71 - Added support for editline as replacement for readline
72 - Added warnings for driver-driver conflicts between FFs (and other cells) and constants
73 - Added "yosys -E" for creating Makefile dependencies files
74 - Added "synth -noshare"
75 - Added "memory_nordff"
76 - Added "setundef -undef -expose -anyconst"
77 - Added "expose -input"
78 - Added specify/specparam parser support (simply ignore them)
79 - Added "write_blif -inames -iattr"
80 - Added "hierarchy -simcheck"
81 - Added an option to statically link abc into yosys
82 - Added protobuf back-end
83 - Added BLIF parsing support for .conn and .cname
84 - Added read_verilog error checking for reg/wire/logic misuse
85 - Added "make coverage" and ENABLE_GCOV build option
86
87 * Changes in Yosys APIs
88 - Added ConstEval defaultval feature
89 - Added {get,set}_src_attribute() methods on RTLIL::AttrObject
90 - Added SigSpec::is_fully_ones() and Const::is_fully_ones()
91 - Added log_file_warning() and log_file_error() functions
92
93 * Formal Verification
94 - Added "write_aiger"
95 - Added "yosys-smtbmc --aig"
96 - Added "always <positive_int>" to .smtc format
97 - Added $cover cell type and support for cover properties
98 - Added $fair/$live cell type and support for liveness properties
99 - Added smtbmc support for memory vcd dumping
100 - Added "chformal" command
101 - Added "write_smt2 -stbv" and "write_smt2 -stdt"
102 - Fix equiv_simple, old behavior now available with "equiv_simple -short"
103 - Change to Yices2 as default SMT solver (it is GPL now)
104 - Added "yosys-smtbmc --presat" (now default in SymbiYosys)
105 - Added "yosys-smtbmc --smtc-init --smtc-top --noinit"
106 - Added a brand new "write_btor" command for BTOR2
107 - Added clk2fflogic memory support and other improvements
108 - Added "async memory write" support to write_smt2
109 - Simulate clock toggling in yosys-smtbmc VCD output
110 - Added $allseq/$allconst cells for EA-solving
111 - Make -nordff the default in "prep"
112 - Added (* gclk *) attribute
113 - Added "async2sync" pass for single-clock designs with async resets
114
115 * Verific support
116 - Many improvements in Verific front-end
117 - Added proper handling of concurent SVA properties
118 - Map "const" and "rand const" to $anyseq/$anyconst
119 - Added "verific -import -flatten" and "verific -import -extnets"
120 - Added "verific -vlog-incdir -vlog-define -vlog-libdir"
121 - Remove PSL support (because PSL has been removed in upstream Verific)
122 - Improve integration with "hierarchy" command design elaboration
123 - Added YOSYS_NOVERIFIC for running non-verific test cases with verific bin
124 - Added simpilied "read" command that automatically uses verific if available
125 - Added "verific -set-<severity> <msg_id>.."
126 - Added "verific -work <libname>"
127
128 * New back-ends
129 - Added initial Coolrunner-II support
130 - Added initial eASIC support
131 - Added initial ECP5 support
132
133 * GreenPAK Support
134 - Added support for GP_DLATCH, GP_SPI, GP_DCMx, GP_COUNTx, etc.
135
136 * iCE40 Support
137 - Add "synth_ice40 -vpr"
138 - Add "synth_ice40 -nodffe"
139 - Add "synth_ice40 -json"
140 - Add Support for UltraPlus cells
141
142 * MAX10 and Cyclone IV Support
143 - Added initial version of metacommand "synth_intel".
144 - Improved write_verilog command to produce VQM netlist for Quartus Prime.
145 - Added support for MAX10 FPGA family synthesis.
146 - Added support for Cyclone IV family synthesis.
147 - Added example of implementation for DE2i-150 board.
148 - Added example of implementation for MAX10 development kit.
149 - Added LFSR example from Asic World.
150 - Added "dffinit -highlow" for mapping to Intel primitives
151
152
153 Yosys 0.6 .. Yosys 0.7
154 ----------------------
155
156 * Various
157 - Added "yosys -D" feature
158 - Added support for installed plugins in $(DATDIR)/plugins/
159 - Renamed opt_const to opt_expr
160 - Renamed opt_share to opt_merge
161 - Added "prep -flatten" and "synth -flatten"
162 - Added "prep -auto-top" and "synth -auto-top"
163 - Using "mfs" and "lutpack" in ABC lut mapping
164 - Support for abstract modules in chparam
165 - Cleanup abstract modules at end of "hierarchy -top"
166 - Added tristate buffer support to iopadmap
167 - Added opt_expr support for div/mod by power-of-two
168 - Added "select -assert-min <N> -assert-max <N>"
169 - Added "attrmvcp" pass
170 - Added "attrmap" command
171 - Added "tee +INT -INT"
172 - Added "zinit" pass
173 - Added "setparam -type"
174 - Added "shregmap" pass
175 - Added "setundef -init"
176 - Added "nlutmap -assert"
177 - Added $sop cell type and "abc -sop -I <num> -P <num>"
178 - Added "dc2" to default ABC scripts
179 - Added "deminout"
180 - Added "insbuf" command
181 - Added "prep -nomem"
182 - Added "opt_rmdff -keepdc"
183 - Added "prep -nokeepdc"
184 - Added initial version of "synth_gowin"
185 - Added "fsm_expand -full"
186 - Added support for fsm_encoding="user"
187 - Many improvements in GreenPAK4 support
188 - Added black box modules for all Xilinx 7-series lib cells
189 - Added synth_ice40 support for latches via logic loops
190 - Fixed ice40_opt lut unmapping, added "ice40_opt -unlut"
191
192 * Build System
193 - Added ABCEXTERNAL and ABCURL make variables
194 - Added BINDIR, LIBDIR, and DATDIR make variables
195 - Added PKG_CONFIG make variable
196 - Added SEED make variable (for "make test")
197 - Added YOSYS_VER_STR make variable
198 - Updated min GCC requirement to GCC 4.8
199 - Updated required Bison version to Bison 3.x
200
201 * Internal APIs
202 - Added ast.h to exported headers
203 - Added ScriptPass helper class for script-like passes
204 - Added CellEdgesDatabase API
205
206 * Front-ends and Back-ends
207 - Added filename glob support to all front-ends
208 - Added avail (black-box) module params to ilang format
209 - Added $display %m support
210 - Added support for $stop Verilog system task
211 - Added support for SystemVerilog packages
212 - Fixed procedural assignments to non-unique lvalues, e.g. {y,y} = {a,b}
213 - Added support for "active high" and "active low" latches in read_blif and write_blif
214 - Use init value "2" for all uninitialized FFs in BLIF back-end
215 - Added "read_blif -sop"
216 - Added "write_blif -noalias"
217 - Added various write_blif options for VTR support
218 - write_json: also write module attributes.
219 - Added "write_verilog -nodec -nostr -defparam"
220 - Added "read_verilog -norestrict -assume-asserts"
221 - Added support for bus interfaces to "read_liberty -lib"
222 - Added liberty parser support for types within cell decls
223 - Added "write_verilog -renameprefix -v"
224 - Added "write_edif -nogndvcc"
225
226 * Formal Verification
227 - Support for hierarchical designs in smt2 back-end
228 - Yosys-smtbmc: Support for hierarchical VCD dumping
229 - Added $initstate cell type and vlog function
230 - Added $anyconst and $anyseq cell types and vlog functions
231 - Added printing of code loc of failed asserts to yosys-smtbmc
232 - Added memory_memx pass, "memory -memx", and "prep -memx"
233 - Added "proc_mux -ifx"
234 - Added "yosys-smtbmc -g"
235 - Deprecated "write_smt2 -regs" (by default on now)
236 - Made "write_smt2 -bv -mem" default, added "write_smt2 -nobv -nomem"
237 - Added support for memories to smtio.py
238 - Added "yosys-smtbmc --dump-vlogtb"
239 - Added "yosys-smtbmc --smtc --dump-smtc"
240 - Added "yosys-smtbmc --dump-all"
241 - Added assertpmux command
242 - Added "yosys-smtbmc --unroll"
243 - Added $past, $stable, $rose, $fell SVA functions
244 - Added "yosys-smtbmc --noinfo and --dummy"
245 - Added "yosys-smtbmc --noincr"
246 - Added "yosys-smtbmc --cex <filename>"
247 - Added $ff and $_FF_ cell types
248 - Added $global_clock verilog syntax support for creating $ff cells
249 - Added clk2fflogic
250
251
252 Yosys 0.5 .. Yosys 0.6
253 ----------------------
254
255 * Various
256 - Added Contributor Covenant Code of Conduct
257 - Various improvements in dict<> and pool<>
258 - Added hashlib::mfp and refactored SigMap
259 - Improved support for reals as module parameters
260 - Various improvements in SMT2 back-end
261 - Added "keep_hierarchy" attribute
262 - Verilog front-end: define `BLACKBOX in -lib mode
263 - Added API for converting internal cells to AIGs
264 - Added ENABLE_LIBYOSYS Makefile option
265 - Removed "techmap -share_map" (use "-map +/filename" instead)
266 - Switched all Python scripts to Python 3
267 - Added support for $display()/$write() and $finish() to Verilog front-end
268 - Added "yosys-smtbmc" formal verification flow
269 - Added options for clang sanitizers to Makefile
270
271 * New commands and options
272 - Added "scc -expect <N> -nofeedback"
273 - Added "proc_dlatch"
274 - Added "check"
275 - Added "select %xe %cie %coe %M %C %R"
276 - Added "sat -dump_json" (WaveJSON format)
277 - Added "sat -tempinduct-baseonly -tempinduct-inductonly"
278 - Added "sat -stepsize" and "sat -tempinduct-step"
279 - Added "sat -show-regs -show-public -show-all"
280 - Added "write_json" (Native Yosys JSON format)
281 - Added "write_blif -attr"
282 - Added "dffinit"
283 - Added "chparam"
284 - Added "muxcover"
285 - Added "pmuxtree"
286 - Added memory_bram "make_outreg" feature
287 - Added "splice -wires"
288 - Added "dff2dffe -direct-match"
289 - Added simplemap $lut support
290 - Added "read_blif"
291 - Added "opt_share -share_all"
292 - Added "aigmap"
293 - Added "write_smt2 -mem -regs -wires"
294 - Added "memory -nordff"
295 - Added "write_smv"
296 - Added "synth -nordff -noalumacc"
297 - Added "rename -top new_name"
298 - Added "opt_const -clkinv"
299 - Added "synth -nofsm"
300 - Added "miter -assert"
301 - Added "read_verilog -noautowire"
302 - Added "read_verilog -nodpi"
303 - Added "tribuf"
304 - Added "lut2mux"
305 - Added "nlutmap"
306 - Added "qwp"
307 - Added "test_cell -noeval"
308 - Added "edgetypes"
309 - Added "equiv_struct"
310 - Added "equiv_purge"
311 - Added "equiv_mark"
312 - Added "equiv_add -try -cell"
313 - Added "singleton"
314 - Added "abc -g -luts"
315 - Added "torder"
316 - Added "write_blif -cname"
317 - Added "submod -copy"
318 - Added "dffsr2dff"
319 - Added "stat -liberty"
320
321 * Synthesis metacommands
322 - Various improvements in synth_xilinx
323 - Added synth_ice40 and synth_greenpak4
324 - Added "prep" metacommand for "synthesis lite"
325
326 * Cell library changes
327 - Added cell types to "help" system
328 - Added $meminit cell type
329 - Added $assume cell type
330 - Added $_MUX4_, $_MUX8_, and $_MUX16_ cells
331 - Added $tribuf and $_TBUF_ cell types
332 - Added read-enable to memory model
333
334 * YosysJS
335 - Various improvements in emscripten build
336 - Added alternative webworker-based JS API
337 - Added a few example applications
338
339
340 Yosys 0.4 .. Yosys 0.5
341 ----------------------
342
343 * API changes
344 - Added log_warning()
345 - Added eval_select_args() and eval_select_op()
346 - Added cell->known(), cell->input(portname), cell->output(portname)
347 - Skip blackbox modules in design->selected_modules()
348 - Replaced std::map<> and std::set<> with dict<> and pool<>
349 - New SigSpec::extend() is what used to be SigSpec::extend_u0()
350 - Added YS_OVERRIDE, YS_FINAL, YS_ATTRIBUTE, YS_NORETURN
351
352 * Cell library changes
353 - Added flip-flops with enable ($dffe etc.)
354 - Added $equiv cells for equivalence checking framework
355
356 * Various
357 - Updated ABC to hg rev 61ad5f908c03
358 - Added clock domain partitioning to ABC pass
359 - Improved plugin building (see "yosys-config --build")
360 - Added ENABLE_NDEBUG Makefile flag for high-performance builds
361 - Added "yosys -d", "yosys -L" and other driver improvements
362 - Added support for multi-bit (array) cell ports to "write_edif"
363 - Now printing most output to stdout, not stderr
364 - Added "onehot" attribute (set by "fsm_map")
365 - Various performance improvements
366 - Vastly improved Xilinx flow
367 - Added "make unsintall"
368
369 * Equivalence checking
370 - Added equivalence checking commands:
371 equiv_make equiv_simple equiv_status
372 equiv_induct equiv_miter
373 equiv_add equiv_remove
374
375 * Block RAM support:
376 - Added "memory_bram" command
377 - Added BRAM support to Xilinx flow
378
379 * Other New Commands and Options
380 - Added "dff2dffe"
381 - Added "fsm -encfile"
382 - Added "dfflibmap -prepare"
383 - Added "write_blid -unbuf -undef -blackbox"
384 - Added "write_smt2" for writing SMT-LIBv2 files
385 - Added "test_cell -w -muxdiv"
386 - Added "select -read"
387
388
389 Yosys 0.3.0 .. Yosys 0.4
390 ------------------------
391
392 * Platform Support
393 - Added support for mxe-based cross-builds for win32
394 - Added sourcecode-export as VisualStudio project
395 - Added experimental EMCC (JavaScript) support
396
397 * Verilog Frontend
398 - Added -sv option for SystemVerilog (and automatic *.sv file support)
399 - Added support for real-valued constants and constant expressions
400 - Added support for non-standard "via_celltype" attribute on task/func
401 - Added support for non-standard "module mod_name(...);" syntax
402 - Added support for non-standard """ macro bodies
403 - Added support for array with more than one dimension
404 - Added support for $readmemh and $readmemb
405 - Added support for DPI functions
406
407 * Changes in internal cell library
408 - Added $shift and $shiftx cell types
409 - Added $alu, $lcu, $fa and $macc cell types
410 - Removed $bu0 and $safe_pmux cell types
411 - $mem/$memwr WR_EN input is now a per-data-bit enable signal
412 - Added $_NAND_ $_NOR_ $_XNOR_ $_AOI3_ $_OAI3_ $_AOI4_ $_OAI4_
413 - Renamed ports of $lut cells (from I->O to A->Y)
414 - Renamed $_INV_ to $_NOT_
415
416 * Changes for simple synthesis flows
417 - There is now a "synth" command with a recommended default script
418 - Many improvements in synthesis of arithmetic functions to gates
419 - Multipliers and adders with many operands are using carry-save adder trees
420 - Remaining adders are now implemented using Brent-Kung carry look-ahead adders
421 - Various new high-level optimizations on RTL netlist
422 - Various improvements in FSM optimization
423 - Updated ABC to hg 5b5af75f1dda (from 2014-11-07)
424
425 * Changes in internal APIs and RTLIL
426 - Added log_id() and log_cell() helper functions
427 - Added function-like cell creation helpers
428 - Added GetSize() function (like .size() but with int)
429 - Major refactoring of RTLIL::Module and related classes
430 - Major refactoring of RTLIL::SigSpec and related classes
431 - Now RTLIL::IdString is essentially an int
432 - Added macros for code coverage counters
433 - Added some Makefile magic for pretty make logs
434 - Added "kernel/yosys.h" with all the core definitions
435 - Changed a lot of code from FILE* to c++ streams
436 - Added RTLIL::Monitor API and "trace" command
437 - Added "Yosys" C++ namespace
438
439 * Changes relevant to SAT solving
440 - Added ezSAT::keep_cnf() and ezSAT::non_incremental()
441 - Added native ezSAT support for vector shift ops
442 - Updated MiniSAT to git 37dc6c67e2 (from 2013-09-25)
443
444 * New commands (or large improvements to commands)
445 - Added "synth" command with default script
446 - Added "share" (finally some real resource sharing)
447 - Added "memory_share" (reduce number of ports on memories)
448 - Added "wreduce" and "alumacc" commands
449 - Added "opt -keepdc -fine -full -fast"
450 - Added some "test_*" commands
451
452 * Various other changes
453 - Added %D and %c select operators
454 - Added support for labels in yosys scripts
455 - Added support for here-documents in yosys scripts
456 - Support "+/" prefix for files from proc_share_dir
457 - Added "autoidx" statement to ilang language
458 - Switched from "yosys-svgviewer" to "xdot"
459 - Renamed "stdcells.v" to "techmap.v"
460 - Various bug fixes and small improvements
461 - Improved welcome and bye messages
462
463
464 Yosys 0.2.0 .. Yosys 0.3.0
465 --------------------------
466
467 * Driver program and overall behavior:
468 - Added "design -push" and "design -pop"
469 - Added "tee" command for redirecting log output
470
471 * Changes in the internal cell library:
472 - Added $dlatchsr and $_DLATCHSR_???_ cell types
473
474 * Improvements in Verilog frontend:
475 - Improved support for const functions (case, always, repeat)
476 - The generate..endgenerate keywords are now optional
477 - Added support for arrays of module instances
478 - Added support for "`default_nettype" directive
479 - Added support for "`line" directive
480
481 * Other front- and back-ends:
482 - Various changes to "write_blif" options
483 - Various improvements in EDIF backend
484 - Added "vhdl2verilog" pseudo-front-end
485 - Added "verific" pseudo-front-end
486
487 * Improvements in technology mapping:
488 - Added support for recursive techmap
489 - Added CONSTMSK and CONSTVAL features to techmap
490 - Added _TECHMAP_CONNMAP_*_ feature to techmap
491 - Added _TECHMAP_REPLACE_ feature to techmap
492 - Added "connwrappers" command for wrap-extract-unwrap method
493 - Added "extract -map %<design_name>" feature
494 - Added "extract -ignore_param ..." and "extract -ignore_parameters"
495 - Added "techmap -max_iter" option
496
497 * Improvements to "eval" and "sat" framework:
498 - Now include a copy of Minisat (with build fixes applied)
499 - Switched to Minisat::SimpSolver as SAT back-end
500 - Added "sat -dump_vcd" feature
501 - Added "sat -dump_cnf" feature
502 - Added "sat -initsteps <N>" feature
503 - Added "freduce -stop <N>" feature
504 - Added "freduce -dump <prefix>" feature
505
506 * Integration with ABC:
507 - Updated ABC rev to 7600ffb9340c
508
509 * Improvements in the internal APIs:
510 - Added RTLIL::Module::add... helper methods
511 - Various build fixes for OSX (Darwin) and OpenBSD
512
513
514 Yosys 0.1.0 .. Yosys 0.2.0
515 --------------------------
516
517 * Changes to the driver program:
518 - Added "yosys -h" and "yosys -H"
519 - Added support for backslash line continuation in scripts
520 - Added support for #-comments in same line as command
521 - Added "echo" and "log" commands
522
523 * Improvements in Verilog frontend:
524 - Added support for local registers in named blocks
525 - Added support for "case" in "generate" blocks
526 - Added support for $clog2 system function
527 - Added support for basic SystemVerilog assert statements
528 - Added preprocessor support for macro arguments
529 - Added preprocessor support for `elsif statement
530 - Added "verilog_defaults" command
531 - Added read_verilog -icells option
532 - Added support for constant sizes from parameters
533 - Added "read_verilog -setattr"
534 - Added support for function returning 'integer'
535 - Added limited support for function calls in parameter values
536 - Added "read_verilog -defer" to suppress evaluation of modules with default parameters
537
538 * Other front- and back-ends:
539 - Added BTOR backend
540 - Added Liberty frontend
541
542 * Improvements in technology mapping:
543 - The "dfflibmap" command now strongly prefers solutions with
544 no inverters in clock paths
545 - The "dfflibmap" command now prefers cells with smaller area
546 - Added support for multiple -map options to techmap
547 - Added "dfflibmap" support for //-comments in liberty files
548 - Added "memory_unpack" command to revert "memory_collect"
549 - Added standard techmap rule "techmap -share_map pmux2mux.v"
550 - Added "iopadmap -bits"
551 - Added "setundef" command
552 - Added "hilomap" command
553
554 * Changes in the internal cell library:
555 - Major rewrite of simlib.v for better compatibility with other tools
556 - Added PRIORITY parameter to $memwr cells
557 - Added TRANSPARENT parameter to $memrd cells
558 - Added RD_TRANSPARENT parameter to $mem cells
559 - Added $bu0 cell (always 0-extend, even undef MSB)
560 - Added $assert cell type
561 - Added $slice and $concat cell types
562
563 * Integration with ABC:
564 - Updated ABC to hg rev 2058c8ccea68
565 - Tighter integration of ABC build with Yosys build. The make
566 targets 'make abc' and 'make install-abc' are now obsolete.
567 - Added support for passing FFs from one clock domain through ABC
568 - Now always use BLIF as exchange format with ABC
569 - Added support for "abc -script +<command_sequence>"
570 - Improved standard ABC recipe
571 - Added support for "keep" attribute to abc command
572 - Added "abc -dff / -clk / -keepff" options
573
574 * Improvements to "eval" and "sat" framework:
575 - Added support for "0" and "~0" in right-hand side -set expressions
576 - Added "eval -set-undef" and "eval -table"
577 - Added "sat -set-init" and "sat -set-init-*" for sequential problems
578 - Added undef support to SAT solver, incl. various new "sat" options
579 - Added correct support for === and !== for "eval" and "sat"
580 - Added "sat -tempinduct" (default -seq is now non-induction sequential)
581 - Added "sat -prove-asserts"
582 - Complete rewrite of the 'freduce' command
583 - Added "miter" command
584 - Added "sat -show-inputs" and "sat -show-outputs"
585 - Added "sat -ignore_unknown_cells" (now produce an error by default)
586 - Added "sat -falsify"
587 - Now "sat -verify" and "sat -falsify" can also be used without "-prove"
588 - Added "expose" command
589 - Added support for @<sel_name> to sat and eval signal expressions
590
591 * Changes in the 'make test' framework and auxiliary test tools:
592 - Added autotest.sh -p and -f options
593 - Replaced autotest.sh ISIM support with XSIM support
594 - Added test cases for SAT framework
595
596 * Added "abbreviated IDs":
597 - Now $<something>$foo can be abbreviated as $foo.
598 - Usually this last part is a unique id (from RTLIL::autoidx)
599 - This abbreviated IDs are now also used in "show" output
600
601 * Other changes to selection framework:
602 - Now */ is optional in */<mode>:<arg> expressions
603 - Added "select -assert-none" and "select -assert-any"
604 - Added support for matching modules by attribute (A:<expr>)
605 - Added "select -none"
606 - Added support for r:<expr> pattern for matching cell parameters
607 - Added support for !=, <, <=, >=, > for attribute and parameter matching
608 - Added support for %s for selecting sub-modules
609 - Added support for %m for expanding selections to whole modules
610 - Added support for i:*, o:* and x:* pattern for selecting module ports
611 - Added support for s:<expr> pattern for matching wire width
612 - Added support for %a operation to select wire aliases
613
614 * Various other changes to commands and options:
615 - The "ls" command now supports wildcards
616 - Added "show -pause" and "show -format dot"
617 - Added "show -color" support for cells
618 - Added "show -label" and "show -notitle"
619 - Added "dump -m" and "dump -n"
620 - Added "history" command
621 - Added "rename -hide"
622 - Added "connect" command
623 - Added "splitnets -driver"
624 - Added "opt_const -mux_undef"
625 - Added "opt_const -mux_bool"
626 - Added "opt_const -undriven"
627 - Added "opt -mux_undef -mux_bool -undriven -purge"
628 - Added "hierarchy -libdir"
629 - Added "hierarchy -purge_lib" (by default now do not remove lib cells)
630 - Added "delete" command
631 - Added "dump -append"
632 - Added "setattr" and "setparam" commands
633 - Added "design -stash/-copy-from/-copy-to"
634 - Added "copy" command
635 - Added "splice" command
636