58f56acb1f674b5803815f5e6a798edf63a68e8a
[libreriscv.git] / HDL_workflow.mdwn
1 [[!toc ]]
2
3 ---
4
5 # HDL workflow
6
7 This section describes the workflow and some best practices for developing
8 the Libre-SOC hardware. We use nmigen, yosys and symbiyosys, and this
9 page is intended not just to help you get set up, it is intended to
10 help advise you of some tricks and practices that will help you become
11 effective team contributors.
12
13 It is particularly important to bear in mind that we are not just
14 "developing code", here: we are creating a "lasting legacy educational
15 resource" for other people to learn from, and for businesses and students
16 alike to be able to use, learn from and augment for their own purposes.
17
18 It is also important to appreciate and respect that we are funded under
19 NLNet's Privacy and Enhanced Trust Programme <http://nlnet.nl/PET>. Full
20 transparency, readability, documentation, effective team communication
21 and formal mathematical proofs for all code at all levels is therefore
22 paramount.
23
24 Therefore, we need not only to be "self-sufficient" (absolutely
25 under no circumstances critically reliant on somebody else's servers
26 **or protocols**) we also need to ensure that everything (including
27 all communication such as the mailing list archives) are recorded,
28 replicable, and accessible in perpetuity. Use of slack or a "forum"
29 either actively prevents or makes that much harder.
30
31 # Collaboration resources
32
33 The main message here: **use the right tool for the right job**.
34
35 * mailing list: general communication and discussion.
36 * irc channel #libre-soc on irc.libera.chat: real(ish)-time communication.
37 * bugtracker: task-orientated, goal-orientated *focussed* discussion.
38 * ikiwiki: document store, information store, and (editable) main website
39 * git repositories: code stores (**not binary or auto-generated output store**)
40 * ftp server (<https://ftp.libre-soc.org/>): large (temporary,
41 auto-generated) file store.
42
43 Note also the lack of a "forum" in the above list. this is very
44 deliberate. forums are a serious distraction when it comes to technical
45 heavily goal-orientated development. recent internet users may enjoy
46 looking up the "AOL metoo postings" meme.
47
48 Note also the complete lack of "social platforms". if we wanted to tell
49 everybody how much better each of us are than anyone else in the team,
50 how many times we made a commit (look at me, look at me, i'm so clever),
51 and how many times we went to the bathroom, we would have installed a
52 social media based project "management" system.
53
54 ## Main contact method: mailing list
55
56 To respect the transparency requirements, conversations need to be
57 public and archived (i.e not skype, not telegram, not discord,
58 and anyone seriously suggesting slack will be thrown to the
59 lions). Therefore we have a mailing list. Everything goes through
60 there. <https://lists.libre-soc.org/mailman/listinfo/libre-soc-dev>
61 therefore please do google "mailing list etiquette" and at the very
62 minimum look up and understand the following:
63
64 * This is a technical mailing list with complex topics. Top posting
65 is completely inappropriate. Don't do it unless you have mitigating
66 circumstances, and even then please apologise and explain ("hello sorry
67 using phone at airport flight soon, v. quick reply: ....")
68 * Always trim context but do not cut excessively to the point where people
69 cannot follow the discussion. Especially do not cut the attribution
70 ("On monday xxx wrote") of something that you are actually replying
71 to.
72 * Use inline replies i.e. reply at the point in the relevant part of
73 the conversation, as if you were actually having a conversation.
74 * Follow standard IETF reply formatting, using ">" for cascaded
75 indentation of other people's replies. If using gmail, please: SWITCH
76 OFF RICH TEXT EDITING.
77 * Please for god's sake do not use "my replies are in a different
78 colour". Only old and highly regarded people still using AOL are allowed
79 to get away with that (such as Mitch).
80 * Start a new topic with a relevant subject line. If an existing
81 discussion changes direction, change the subject line to reflect the
82 new topic (or start a new conversation entirely, without using the
83 "reply" button)
84 * DMARC is a pain on the neck. Try to avoid GPG signed messages. sigh.
85 * Don't send massive attachments. Put them online (no, not on facebook or
86 google drive or anywhere else that demands privacy violations) and provide
87 the link. Which should not require any kind of login to access. ask the
88 listadmin if you don't have anywhere suitable: FTP access can be arranged.
89
90 ### Actionable items from mailing list
91
92 If discussions result in any actionable items, it is important not to
93 lose track of them. Create a bugreport, find the discussion in the
94 archives <https://lists.libre-soc.org/pipermail/libre-soc-dev/>,
95 and put the link actually in the bugtracker as one of the comments.
96
97 At some point in any discussion, the sudden realisation may dawn on one
98 or more people that this is an "actionable" discussion. at that point
99 it may become better to use <https://bugs.libre-soc.org/>
100 itself to continue the discussion rather than to keep on dropping copies
101 of links into the bugtracker. The bugtracker sends copies of comments
102 *to* the list however this is 'one-way' (note from lkcl: because this
103 involves running an automated perl script from email, on every email,
104 on the server, that is a high security risk, and i'm not doing it. sorry.)
105
106 ### Mailing list != editable document store
107
108 Also, please do not use the mailing list as an "information or document
109 store or poor-man's editor" **including not sending large images**.
110 We have the wiki for that. Edit a page and
111 tell people what you did (summarise rather than drop the entire contents
112 at the list) and include the link to the page.
113
114 Or, if it is more appropriate, commit a document (or source code)
115 into the relevant git repository then look up the link in the gitweb
116 source tree browser and post that (in the bugtracker or mailing list)
117 See <https://git.libre-soc.org/>
118
119 ### gmail "spam"ifying the list
120
121 See <https://blog.kittycooper.com/2014/05/keeping-my-mailing-list-emails-out-of-gmails-spam-folder/>
122
123 Basically it is possible to select any message from the list, create a
124 "filter" (under "More"), and, on the 2nd dialog box, click the "never
125 send this to Spam" option.
126
127 ## Bugtracker
128
129 bugzilla. old and highly effective. sign up in the usual way. any
130 problems, ask on the list.
131
132 Please do not ask for the project to be transferred to github or other
133 proprietary nonfree service "because it's soooo convenient", as the
134 lions are getting wind and gout from overfeeding on that one.
135
136 ### How to raise issues
137
138 * [Bug #1126](https://bugs.libre-soc.org/show_bug.cgi?id=1126)
139
140 If you have discovered a problem in Libre-SOC (software, hardware, etc.),
141 please raise a bug report!
142 Bug reports allow tracking of issues, both to make the developers lives easier,
143 as well as for tracking completed grant-funded work.
144
145 1. Create a bug report.
146 2. Add the chat from the mailing list to the bug report for back tracking (if needed)
147 3. CC in Luke (or other relevant member of Libre-SOC).
148 4. Ping on IRC to say a bug has been created.
149
150 ## ikiwiki
151
152 Runs the main libre-soc.org site (including this page). effective,
153 stunningly light on resources, and uses a git repository not a database.
154 That means it can be edited offline.
155
156 Usual deal: register an account and you can start editing and contributing
157 straight away.
158
159 Hint: to create a new page, find a suitable page that would link to it,
160 first, then put the link in of the page you want to create, as if the
161 page already exists. Save that page, and you will find a question mark
162 next to the new link you created. click that link, and it will fire up a
163 "create new page" editor.
164
165 Wiki pages are formatted in [[markdown|ikiwiki/markdown]] syntax.
166
167 Hint again: the wiki is backed by a git repository. Don't go overboard
168 but at the same time do not be afraid that you might "damage" or "lose"
169 pages. Although it would be a minor pain, the pages can always be
170 reverted or edited by the sysadmins to restore things if you get in a tiz.
171
172 Assistance in creating a much better theme greatly appreciated. e.g.
173 <http://www.math.cmu.edu/~gautam/sj/blog/20140720-ikiwiki-navbar.html>
174
175 ## git
176
177 We use git. More on this below. We also use
178 [gitolite3](https://gitolite.com/gitolite/) running on a dedicated server.
179 again, it is extremely effective and low resource utilisation. Reminder:
180 lions are involved if github is mentioned.
181
182 [gitweb](https://git.wiki.kernel.org/index.php/Gitweb) is provided which
183 does a decent job. <https://git.libre-soc.org/>
184
185 [Git](https://en.wikipedia.org/wiki/Git) does version control, ie it
186 tracks changes to files so that previous versions can be got back or
187 compared.
188
189 Checklist page [[HDL_workflow/git_checklist]]
190
191 ## ftp server
192
193 <https://ftp.libre-soc.org/> is available for storing large files
194 that do not belong in a git repository, if we have (or ever need)
195 any. Images (etc.) if small and appropriate should go into the
196 wiki, however .tgz archives (etc.) and, at some point, binaries,
197 should be on the ftp server.
198
199 Ask on the list if you have a file that belongs on the ftp server.
200
201 ## server
202
203 As an aside: all this is "old school" and run on a single core 512MB
204 VM with only a 20GB HDD allocation. it costs only 8 GBP per month from
205 mythic-beasts and means that the project is in no way dependent on anyone
206 else - not microsoft, not google, not facebook, not amazon.
207
208 We tried [gitlab](https://about.gitlab.com/). it didn't go well. please
209 don't ask to replace the above extremely resource-efficient services
210 with it.
211
212 # Hardware
213
214 RAM is the biggest requirement. Minimum 16GB, the more the better (32
215 or 64GB starts to reach "acceptable" levels. Disk space is not hugely
216 critical: 256GB SSD should be more than adequate. Simulations and
217 FPGA compilations however are where raw processing power is a must.
218 High end Graphics Cards are nonessential.
219
220 What is particularly useful is to have hi-res screens (curved is
221 *strongly* recommended if the LCD is over 24in wide, to avoid eyeballs
222 going "prism" through long term use), and to have several of them: the
223 more the better. Either a DisplayLink UD160A (or more modern variant)
224 or simply using a second machine (lower spec hardware because it will
225 run editors) is really effective.
226
227 Also it is really recommended to have a UHD monitor (4k - 3840x2160),
228 or at least 2560x1200. If given a choice, 4:3 aspect ratio is better
229 than 16:9 particularly when using several of them. However, caveat
230 (details below): please when editing do not assume that everyone will
231 have access to such high resolution screens.
232
233 # Operating System
234
235 First install and become familiar with
236 [Debian](https://www.debian.org/) ([Ubuntu](https://ubuntu.com/)
237 if you absolutely
238 must) for standardisation cross-team and so that toolchain installation
239 is greatly simplified. yosys in particular warns that trying to use
240 Windows, BSD or MacOS will get you into a world of pain.
241
242 Only a basic GUI desktop is necessary: fvwm2, xfce4, lxde are perfectly
243 sufficient (alongside wicd-gtk for network management). Other more
244 complex desktops can be used however may consume greater resources.
245
246 # editors and editing
247
248 Whilst this is often a personal choice, the fact that many editors are
249 GUI based and run full-screen with the entire right hand side *and* middle
250 *and* the majority of the left side of the hi-res screen entirely unused
251 and bereft of text leaves experienced developers both amused and puzzled.
252
253 At the point where such full-screen users commit code with line lengths
254 well over 160 characters, that amusement quickly evaporates.
255
256 Where the problems occur with full-screen editor usage is when a project
257 is split into dozens if not hundreds of small files (as this one is). At
258 that point it becomes pretty much essential to have as many as six to
259 eight files open *and on-screen* at once, without overlaps i.e. not in
260 hidden tabs, next to at least two if not three additional free and clear
261 terminals into which commands are regularly and routinely typed (make,
262 git commit, nosetests3 etc). Illustrated with the following 3840x2160
263 screenshot (click to view full image), where *every one* of those 80x70
264 xterm windows is *relevant to the task at hand*.
265
266 [[!img 2020-01-24_11-56.png size=640x ]]
267
268 (hint/tip: fvwm2 set up with "mouse-over to raise focus, rather than
269 additionally requiring a mouse click, can save a huge amount of cumulative
270 development time here, switching between editor terminal(s) and the
271 command terminals).
272
273 Once this becomes necessary, it it turn implies that having greater
274 than 80 chars per line - and running editors full-screen - is a severe
275 hinderance to an essential *and highly effective* workflow technique.
276
277 Additionally, care should be taken to respect that not everyone will have
278 200+ column editor windows and the eyesight of a hawk. They may only have
279 a 1280 x 800 laptop which barely fits two 80x53 xterms side by side.
280 Consequently, having excessively long functions is also a hindrance to
281 others, as such developers with limited screen resources would need to
282 continuously page-up and page-down to read the code even of a single
283 function, in full.
284
285 This helps explain in part, below, why compliance with
286 [pep8](https://pep8.org/) is enforced, including its 80 character limit.
287 In short: not everyone has the same "modern" GUI workflow or has access
288 to the same computing resources as you, so please do respect that.
289
290 More on this concept is
291 [here](https://www.linuxjournal.com/content/line-length-limits).
292 Note *very pointedly* that Linus Torvalds *specifically* states that
293 he does not want Linux kernel development to become the exclusive
294 domain of the "wealthy". That means **no** to assumptions about
295 access to ultra-high resolution screens.
296
297 # Software prerequisites<a name="software-prerequisites"></a>
298
299 **Please make sure if you install manually that you install dependencies
300 in strict order. Failing to adhere to this will result in pip3 downloading
301 unauthorised older software versions. See
302 <http://lists.libre-soc.org/pipermail/libre-soc-dev/2021-September/003666.html>**
303
304 Whilst many resources online advocate "`sudo`" in front of all root-level
305 commands below, this quickly becomes tiresome. run "`sudo bash`", get a
306 root prompt, and save yourself some typing.
307
308 * sudo bash
309 * apt-get install vim exuberant-ctags
310 * apt-get install build-essential
311 * apt-get install git python3.7 python3.7-dev python3-nose
312 * apt-get install graphviz xdot gtkwave
313 * apt-get install python3-venv
314 * apt-get install python-virtualenv # this is an alternative to python3-venv
315 * apt-get install tcl-dev libreadline-dev bison flex libffi-dev iverilog
316 * return to user prompt (ctrl-d)
317
318 (The above assumes that you are running Debian.)
319
320 This will get you python3 and other tools that are
321 needed. [graphviz](https://graphviz.org/) is essential
322 for showing the interconnections between cells, and
323 [gtkwave](http://gtkwave.sourceforge.net/) is essential for debugging.
324
325 If you would like to save yourself a lot more typing, check out the
326 [dev-env-setup](https://git.libre-soc.org/?p=dev-env-setup.git;a=summary)
327 repository, examine the scripts there and use them to automate much of
328 the process below.
329
330 If you would like just to install only the apt dependencies use
331 [install-hdl-apt-reqs](https://git.libre-soc.org/?p=dev-env-setup.git;a=blob;f=install-hdl-apt-reqs;hb=HEAD) instead.
332
333 This page gives more details and a step by step process : [[HDL_workflow/devscripts]]
334
335 ## git
336
337 Look up good tutorials on how to use git effectively. There are so many
338 it is hard to recommend one. This is however essential. If you are not
339 comfortable with git, and you let things stay that way, it will seriously
340 impede development progress.
341
342 If working all day you should expect to be making at least two commits per
343 hour, so should become familiar with it very quickly. If you are *not*
344 doing around 2 commits per hour, something is wrong and you should read
345 the workflow instructions below more carefully, and also ask for advice
346 on the mailing list.
347
348 Worth noting: *this project does not use branches*. All code is committed
349 to master and we *require* that it be either zero-impact additions or that
350 relevant unit tests pass 100%. This ensures that people's work does not
351 get "lost" or isolated and out of touch due to major branch diversion,
352 and that people communicate and coordinate with each other.
353
354 This is not a hard rule: under special cirmstances branches can be useful.
355 They should not however be considered "routine".
356
357 For advice on commit messages see
358 [here](https://tbaggery.com/2008/04/19/a-note-about-git-commit-messages.html),
359 and [here](https://github.com/torvalds/subsurface-for-dirk/blob/master/README.md#contributing)).
360
361 ## yosys
362
363 Follow the source code (git clone) instructions here, do **not** use
364 the "stable" version (do not download the tarball):
365 <https://github.com/YosysHQ/yosys>
366
367 Or, alternatively, use the
368 [hdl-tools-yosys](https://git.libre-soc.org/?p=dev-env-setup.git;a=blob;f=hdl-tools-yosys;hb=HEAD)
369 script (which also installs symbiyosys and its dependencies)
370
371 Do not try to use a fixed revision of yosys (currently 0.9), nmigen is
372 evolving and frequently interacts with yosys.
373
374 [Yosys](https://github.com/YosysHQ/yosys is a framework for Verilog RTL.
375 [Verilog](https://en.wikipedia.org/wiki/Verilog) is a hardware description
376 language.
377 RTL [Register Transfer
378 Level](https://en.wikipedia.org/wiki/Register-transfer_level)
379 models how data moves between
380 [registers](https://en.wikipedia.org/wiki/Hardware_register).
381
382 ## symbiyosys
383
384 To install follow the [instructions
385 here](https://symbiyosys.readthedocs.io/en/latest/install.html)
386 Once done look at [A simple BMC
387 example](https://symbiyosys.readthedocs.io/en/latest/quickstart.html)
388
389 You do not have to install all of those (avy, boolector can be left
390 out if desired) however the more that are installed the more effective
391 the formal proof scripts will be (less resource utilisation in certain
392 circumstances).
393
394 [SymbiYosys](https://symbiyosys.readthedocs.io/en/latest/) (sby) is a
395 front-end driver program for Yosys-based formal hardware verification
396 flows.
397
398 ## nmigen (TM)
399
400 *nmigen is a registered trademark of M-Labs <https://uspto.report/TM/88980893>*
401
402 **PLEASE NOTE: it is critical to install nmigen as the first dependency
403 prior to installing any further python-based Libre-SOC HDL repositories.
404 If "pip3 list" shows that nmigen has been auto-installed please remove it**
405
406 [nmigen](https://m-labs.hk/gateware/nmigen/) may be installed as follows:
407
408 * mkdir ~/src
409 * cd !$
410 * git clone https://gitlab.com/nmigen/nmigen.git
411 * cd nmigen
412 * sudo bash
413 * python3 setup.py develop
414 * ctrl-d
415
416 Testing can then be carried out with "python3 setup.py test"
417
418 nmigen is a Python toolbox for building complex digital hardware.
419
420 ## Softfloat and sfpy
421
422 These are a test suite dependency for the
423 [ieee754fpu](https://www.gaisler.com/index.php/products/ipcores/ieee754fpu)
424 library, and will be changed in the future to use Jacob's
425 [simple-soft-float](https://crates.io/crates/simple-soft-float) library.
426 In the meantime, sfpy can be built as follows:
427
428 git clone --recursive https://github.com/billzorn/sfpy.git
429 cd sfpy
430 cd SoftPosit
431 git apply ../softposit_sfpy_build.patch
432 git apply /path/to/ieee754fpu/SoftPosit.patch
433 cd ../berkely-softfloat-3
434 # Note: Do not apply the patch included in sfpy for berkely-softfloat,
435 # it contains the same changes as this one
436 git apply /path/to/ieee754fpu/berkeley-softfloat.patch
437 cd ..
438
439 # prepare a virtual environment for building
440 python3 -m venv .env
441
442 # or, if you prefer the old way:
443 # virtualenv -p python3 .env
444
445 # install dependencies
446 source .env/bin/activate
447 pip3 install --upgrade -r requirements.txt
448
449 # build
450 make lib -j$(nproc)
451 make cython
452 make inplace -j$(nproc)
453 make wheel
454
455 # install
456 deactivate # deactivates venv, optional
457 pip3 install dist/sfpy*.whl
458
459 You can test your installation by doing the following:
460
461 python3
462 >>> from sfpy import Posit8
463 >>> Posit8(1.3)
464
465 It should print out `Posit8(1.3125)`
466
467 ## qemu, cross-compilers, gdb
468
469 As we are doing POWER ISA, POWER ISA compilers, toolchains and
470 emulators are required.
471 Again, if you want to save yourself some typing, use the dev scripts.
472 [install-hdl-apt-reqs](https://git.libre-soc.org/?p=dev-env-setup.git;a=blob;f=install-hdl-apt-reqs;hb=HEAD)
473 script will install the qemu;
474 [ppc64-gdb-gcc](https://git.libre-soc.org/?p=dev-env-setup.git;a=blob;f=ppc64-gdb-gcc;hb=HEAD)
475 script will install the toolchain and the corresponding debugger.
476 The steps are provided below only for reference; when in doubt,
477 consider checking and running the scripts.
478
479 Install powerpc64 gcc:
480
481 apt-get install gcc-8-powerpc64-linux-gnu
482
483 Install qemu:
484
485 apt-get install qemu-system-ppc
486
487 Install gdb from source. Obtain the required tarball matching
488 the version of gcc (8.3) from here <https://ftp.gnu.org/gnu/gdb/>,
489 unpack it, then:
490
491 cd gdb-8.3 (or other location)
492 mkdir build
493 cd build
494 ../configure --srcdir=.. --host=x86_64-linux --target=powerpc64-linux-gnu
495 make -j$(nproc)
496 make install
497
498 [gdb](https://en.wikipedia.org/wiki/GNU_Debugger) lets you debug running
499 programs. [qemu](https://www.qemu.org/) emulates processors, you can
500 run programs under qemu.
501
502 ## power-instruction-analyzer (pia)
503
504 We have a custom tool built in Rust by programmerjake to help analyze
505 the OpenPower instructions' execution on *actual* hardware.
506
507 Install Rust:
508
509 curl --proto '=https' --tlsv1.2 -sSf https://sh.rustup.rs | sh
510
511 Make sure we have the correct and up-to-date rust compiler (rustc & cargo):
512
513 rustup default stable
514 rustup update
515
516 Install the Python extension from git source by doing the following:
517
518 git clone https://salsa.debian.org/Kazan-team/power-instruction-analyzer.git pia
519 cd pia
520 ./libre-soc-install.sh
521
522 ## Chips4Makers JTAG
523
524 As this is an actual ASIC, we do not rely on an FPGA's JTAG TAP
525 interface, instead require a full complete independent implementation
526 of JTAG. Staf Verhaegen has one, with a full test suite, and it is
527 superb and well-written. The Libre-SOC version includes DMI (Debug
528 Memory Interface):
529
530 git clone https://git.libre-soc.org/git/c4m-jtag.git/
531 cd c4m-jtag
532 python3 setup.py develop
533
534 Included is an IDCODE tap point, Wishbone Master (for direct memory read
535 and write, fully independent of the core), IOPad redirection and testing,
536 and general purpose shift register capability for any custom use.
537
538 We added a DMI to JTAG bridge in LibreSOC which is
539 directly connected to the core, to access registers and
540 to be able to start and stop the core and change the PC.
541 In combination with the JTAG Wishbone interface the test
542 [ASIC](https://en.wikipedia.org/wiki/Application-specific_integrated_circuit)
543 can have a bootloader uploaded directly into onboard
544 [SRAM](https://en.wikipedia.org/wiki/Static_random-access_memory) and
545 execution begun.
546
547 [Chips4Makers](https://chips4makers.io/) make it possible for makers
548 and hobbyists to make their own open source chips.
549
550 [JTAG](https://en.wikipedia.org/wiki/JTAG) (Joint Test Action Group) is
551 an industry standard for verifying designs and testing printed circuit
552 boards after manufacture.
553
554 The [Wishbone
555 bus](https://en.wikipedia.org/wiki/Wishbone_%28computer_bus%29) is an open
556 source hardware computer bus intended to let the parts of an integrated
557 circuit communicate with each other.
558
559 ## Coriolis2
560 See [[HDL_workflow/coriolis2]] page, for those people doing layout work.
561
562 ## Nextpnr
563
564 A portable FPGA place and route tool.
565
566 See [[HDL_workflow/nextpnr]] page for installation instructions of nextpnr with ECP5 support for Lattice FPGA ECP5 series. Also see
567 [[HDL_workflow/ECP5_FPGA]] for connecting up to JTAG with a ULX3S
568 and the Lattice VERSA_ECP5.
569
570 ## Nextpnr-xilinx
571
572 An open source place and route framework for Xilinx FPGAs using Project Xray. We will use it for Xilinx 7-series FPGAs like Artix-7.
573
574 One of the ways to get Arty A7 100t Digilent FPGA board working.
575
576 See [[HDL_workflow/nextpnr-xilinx]] for installation instructions and dependencies.
577
578
579 ## Verilator
580
581 The fastest Verilog and SystemVerilog simulator. It compiles Verilog to C++ or SystemC.
582
583 Advise use only v4.106 at the moment.
584
585 See [[HDL_workflow/verilator]] page for installation instructions.
586
587 ## GHDL
588
589 GHDL is a shorthand for G Hardware Design Language. It is a VHDL analyzer, compiler, simulator and (experimental) synthesizer that can process (nearly) any VHDL design.
590
591 VHDL is an acronym for Very High Speed Integrated Circuit (VHSIC) Hardware Description Language (HDL), which is a programming language used to describe a logic circuit by function, data flow behavior, or structure.
592
593 Unlike some other simulators, GHDL is a compiler: it directly translates a VHDL file to machine code, without using an intermediary language such as C or C++. Therefore, the compiled code should be faster and the analysis time should be shorter than with a compiler using an intermediary language.
594
595 GHDL aims at implementing VHDL as defined by IEEE 1076. It supports the 1987, 1993 and 2002 revisions and, partially, 2008. PSL is also partially supported.
596
597 See [[HDL_workflow/ghdl]] page for installation instructions.
598
599 ## Icarus Verilog
600
601 Icarus Verilog is a Verilog simulation and synthesis tool. It operates as a compiler, compiling source code written in Verilog (IEEE-1364) into some target format.
602
603 See [[HDL_workflow/iverilog]] page for installation instructions.
604
605 ## Cocotb
606
607 cocotb is a COroutine based COsimulation TestBench environment for verifying VHDL and SystemVerilog RTL using Python.
608
609 See [[HDL_workflow/cocotb]] page for installation instructions.
610
611 ## Symbiflow
612
613 A fully open source toolchain for the development of FPGAs. Currently it targets Xilinx 7-series, Lattice iCE40 and ECP5, Quicklogic EOS S3.
614
615 One way to get the Arty A7 100t Digilent FPGA board working.
616
617 See [[HDL_workflow/symbiflow]] for installation instructions
618 and dependencies.
619
620 ## FPGA/Board Boot-Loaders-Programmers
621
622 Open source FPGA/Board boot-loaders and programmers for ULX3S, ECP5 and
623 OrangeCrab.
624
625 Currently these programs dfu-util, openFPGALoader, ujprog, fujprog,
626 xc3sprog and ecpprog are going to be used.
627
628 See [[HDL_workflow/fpga-boot-loaders-progs]] for installation instructions and dependencies.
629
630 ## ls2 peripheral fabric
631
632 [[HDL_workflow/ls2]]
633
634 # Registering for git repository access<a name="gitolite3_access"></a>
635
636 After going through the onboarding process and having agreed to take
637 responsibility for certain tasks, ask on the mailing list for git
638 repository access, sending in a public key (`id_rsa.pub`). If you do
639 not have one then generate it with `ssh-keygen -t rsa`. You will find it
640 in `~/.ssh`
641
642 NEVER SEND ANYONE THE PRIVATE KEY. By contrast the public key, on
643 account of being public, is perfectly fine to make... err... public.
644
645 Create a file `~/.ssh/config` with the following lines:
646
647 Host git.libre-soc.org
648 Port 922
649
650 Test that you have access with this command:
651
652 ssh -v -p922 gitolite3@git.libre-soc.org
653
654 Please note: **DO NOT TYPE A PASSWORD** - the server gets hit by a lot of
655 port-scanning, and detection of password failures are used to instantly
656 ban IP addresses.
657
658 Wait for the Project Admin to confirm that the ssh key has been added
659 to the required repositories. Once confirmed, you can clone any of the
660 repos at https://git.libre-soc.org/:
661
662 git clone gitolite3@git.libre-soc.org:REPONAME.git
663
664 Alternatively, the .ssh/config can be skipped and this used:
665
666 git clone ssh://gitolite3@git.libre-soc.org:922/REPONAME.git
667
668 Note: **DO NOT ATTEMPT TO LOG IN TO THE SERVER WITH A PERSONAL ACCOUNT**.
669 fail2ban is running and, due to repeated persistent port-scanning spammers
670 is set up to instantly ban any unauthorised ssh access for up to two weeks.
671 This keeps log file sizes down on the server (which is resource-constrained).
672 If you are wondering why this is done, it's a *lot* of port-scans.
673
674 Therefore, *only* ssh in to server with the gitolite3 account, *only*
675 on port 922, and *only* once the systems administrator has given you
676 the all-clear that the ssh key has been added.
677
678 # git configuration
679
680 Although there are methods online which describe how (and why) these
681 settings are normally done, honestly it is simpler and easier to open
682 ~/.gitconfig and add them by hand.
683
684 core.autocrlf is a good idea to ensure that anyone adding DOS-formatted
685 files they don't become a pain. pull.rebase is something that is greatly
686 preferred for this project because it avoids the mess of "multiple
687 extra merge git tree entries", and branch.autosetuprebase=always will,
688 if you want it, always ensure that a new git checkout is set up with rebase.
689
690 [core]
691 autocrlf = input
692 [push]
693 default = simple
694 [pull]
695 rebase = true
696 [branch]
697 autosetuprebase = always
698
699 # Checking out the HDL repositories
700
701 Before running the following, install the
702 dependencies. This is easiest done with this script
703 <https://git.libre-soc.org/?p=dev-env-setup.git;a=blob;f=install-hdl-apt-reqs;hb=HEAD>
704
705 **It is critically important to install these in STRICT order, otherwise
706 pip3 interferes and performs unauthorised downloads without informing
707 you of what it is doing**.
708
709 * mkdir ~/src
710 * cd !$
711 * git clone https://gitlab.com/nmigen/nmigen
712 * git clone https://gitlab.com/nmigen/nmigen-boards
713 * git clone https://gitlab.com/nmigen/nmigen-soc
714 * git clone https://gitlab.com/nmigen/nmigen-stdio
715 * git clone gitolite3@git.libre-soc.org:c4m-jtag.git
716 * git clone gitolite3@git.libre-soc.org:nmutil.git
717 * git clone gitolite3@git.libre-soc.org:openpower-isa.git
718 * git clone gitolite3@git.libre-soc.org:ieee754fpu.git
719 * git clone gitolite3@git.libre-soc.org:soc.git
720
721 In each of these directories, **in the order listed**, track down the
722 `setup.py` file, then, as root (`sudo bash`), run the following:
723
724 * python3 setup.py develop
725
726 The reason for using "develop" mode is that the code may be edited
727 in-place yet still imported "globally". There are variants on this theme
728 for multi-user machine use however it is often just easier to get your
729 own machine these days.
730
731 The reason for the order is because soc depends on ieee754fpu, and
732 ieee754fpu depends on nmutil. If you do not follow the listed order
733 pip3 will go off and download an arbitrary version without your
734 consent.
735
736 If "`python3 setup.py install`" is used it is a pain: edit, then
737 install. edit, then install. It gets extremely tedious, hence why
738 "develop" was created.
739
740 If you prefer you can use this script instead: of course you checked it
741 in advance and accept full responsibility.
742 <https://git.libre-soc.org/?p=dev-env-setup.git;a=blob;f=hdl-dev-repos;hb=HEAD>
743
744 # Development Rules
745
746 Team communication:
747
748 * new members, add yourself to the [[about_us]] page and create yourself
749 a home page using someone else's page as a template.
750 * communicate on the mailing list or the bugtracker an intent to take
751 responsibility for a particular task.
752 * assign yourself as the bug's owner
753 * *keep in touch* about what you are doing, and why you are doing it.
754 * edit your home page regularly, particularly to track tasks so that
755 they can be paid by NLNet.
756 * if you cannot do something that you have taken responsibility for,
757 then unless it is a dire personal emergency please say so, on-list. we
758 won't mind. we'll help sort it out.
759
760 Regarding the above it is important that you read, understand, and agree
761 to the [[charter]] because the charter is about ensuring that we operate
762 as an effective organisation. It's *not* about "setting rules and meting
763 out punishment".
764
765 ## Coding
766
767 for actual code development
768
769 ### Plan unit tests
770
771 * plan in advance to write not just code but a full test suite for
772 that code. **this is not optional**. large python projects that do not
773 have unit tests **FAIL** (see separate section below).
774 * Prioritise writing formal proofs and a single clear unit test that is more
775 like a "worked example".
776 We receive NLNet funds for writing formal proofs, plus they
777 cover corner cases and take far less time to write
778
779 ### Commit tested or zero-dependent code
780
781 * only commit code that has been tested (or is presently unused). other
782 people will be depending on you, so do take care not to screw up.
783 not least because, as it says in the [[charter]] it will be your
784 responsibility to fix. that said, do not feel intimidated: ask for help
785 and advice, and you'll get it straight away.
786
787 ### Commit often
788
789 * commit often. several times a day, and "git push" it. this is
790 collaboration. if something is left even overnight uncommitted and not
791 pushed so that other people can see it, it is a red flag.
792 * if you find
793 yourself thinking "i'll commit it when it's finished" or "i don't want to
794 commit something that people might criticise" *this is not collaboration*,
795 it is making yourself a bottleneck. pair-programming is supposed to help
796 avoid this kind of thing however pair-programming is difficult to organise
797 for remote collaborative libre projects (suggestions welcomed here)
798
799 ### Enable editor auto-detection of file changes by external programs
800
801 This is important. "`git pull`" will merge in changes. If you then
802 arbitrarily save a file without re-loading it, you risk destroying
803 other people's work.
804
805 You can avoid damaging the repositories by following some simple procedures:
806
807 run appropriate unit tests
808 git pull
809 run appropriate unit tests again (checks other people's work)
810 git diff # and actually read and review the output
811 git status # check for any missing files
812 git commit # with appropriate arguments and message
813 git push # always always always do this
814
815 ### Absolutely no auto-generated output
816
817 * **do not commit autogenerated output**. write a shell script and commit
818 that, or add a `Makefile` to run the command that generates the output, but
819 **do not** add the actual output of **any** command to the repository.
820 ever. this is really important. even if it is a human-readable file
821 rather than a binary object file.
822 * it is very common to add PDFs (the result of running `latex2pdf`) or
823 configure.in (the result of running `automake`), they are an absolute
824 nuisance and interfere hugely with git diffs, as well as waste hard
825 disk space *and* network bandwidth. don't do it.
826 * do not add multi-megabyte or multi-gigabyte "test data".
827 use shell scripts and commit that, which automatically downloads the
828 "test data" from a well-known known-good reliable location instead.
829
830 ### Write commands that do tasks and commit those
831
832 * if the command needed to create any given autogenerated output is not
833 currently in the list of known project dependencies, first consult on
834 the list if it is okay to make that command become a hard dependency of
835 the project (hint: java, node.js php and .NET commands may cause delays
836 in response time due to other list participants laughing hysterically),
837 and after a decision is made, document the dependency and how its source
838 code is obtained and built (hence why it has to be discussed carefully)
839 * if you find yourself repeating commands regularly, chances are high
840 that someone else will need to run them, too. clearly this includes
841 yourself, therefore, to make everyone's lives easier including your own,
842 put them into a `.sh` shell script (and/or a `Makefile`), commit them to
843 the repository and document them at the very minimum in the README,
844 INSTALL.txt or somewhere in a docs folder as appropriate. if unsure,
845 ask on the mailing list for advice.
846
847 ### Keep commits single-purpose
848
849 * edit files making minimal *single purpose* modifications (even if
850 it involves multiple files. Good extreme example: globally changing
851 a function name across an entire codebase is one purpose, one commit,
852 yet hundreds of files. miss out one of those files, requiring multiple
853 commits, and it actually becomes a nuisance).
854
855 ### Run unit tests prior to commits
856
857 * prior to committing make sure that relevant unit tests pass, or that
858 the change is a zero-impact addition (no unit tests fail at the minimum)
859
860 ### Do not break existing code
861
862 * keep working code working **at all times**. find ways to ensure that
863 this is the case. examples include writing alternative classes that
864 replace existing functionality and adding runtime options to select
865 between old and new code.
866
867 ### Small commits with relevant commit message
868
869 * commit no more than around 5 to 10 lines at a time, with a CLEAR message
870 (no "added this" or "changed that").
871 * if as you write you find that the commit message involves a *list* of
872 changes or the word "and", then STOP. do not proceed: it is a "red flag"
873 that the commit has not been properly broken down into separate-purpose
874 commits. ask for advice on-list on how to proceed.
875
876 ### Exceptions to small commit: atomic single purpose commit
877
878 * if it is essential to commit large amounts of code, ensure that it
879 is **not** in use **anywhere** by any other code. then make a *small*
880 (single purpose) followup commit which actually puts that code into use.
881
882 This last rule is kinda flexible, because if you add the code *and* add
883 the unit test *and* added it into the main code *and* ran all relevant
884 unit tests on all cascade-impacted areas by that change, that's perfectly
885 fine too. however if it is the end of a day, and you need to stop and
886 do not have time to run the necessary unit tests, do *not* commit the
887 change which integrates untested code: just commit the new code (only)
888 and follow up the next day *after* running the full relevant unit tests.
889
890 ### Why such strict rules?
891
892 The reason for all the above is because python is a dynamically typed
893 language. make one tiny change at the base level of the class hierarchy
894 and the effect may be disastrous.
895
896 It is therefore worth reiterating: make absolutely certain that you *only*
897 commit working code or zero-impact code.
898
899 Therefore, if you are absolutely certain that a new addition (new file,
900 new class, new function) is not going to have any side-effects, committing
901 it (a large amount of code) is perfectly fine.
902
903 As a general rule, however, do not use this an an excuse to write code
904 first then write unit tests as an afterthought. write *less* code *in
905 conjunction* with its (more basic) unit tests, instead. then, folliw up with
906 additions and improvements.
907
908 The reason for separating out commits to single purpose only becomes
909 obvious (and regretted if not followed) when, months later, a mistake
910 has to be tracked down and reverted. if the commit does not have an
911 easy-to-find message, it cannot even be located, and once found, if the
912 commit confuses several unrelated changes, not only the diff is larger
913 than it should be, the reversion process becomes extremely painful.
914
915 ### PHP-style python format-strings
916
917 As the name suggests, "PHP-style" is not given as a compliment.
918 Format-strings - `f"{variable} {pythoncodefragment}" are a nightmare
919 to read. The lesson from PHP, Zope and Plone: when code is embedded,
920 the purpose of the formatting - the separation of the format from
921 the data to be placed in it - is merged, and consequently become
922 unreadable.
923
924 By contrast, let us imagine a situation where 12 variables need to
925 be inserted into a string, four of which are the same variablename:
926
927 x = "%s %s %s %s %s %s %s %s %s %s %s %s" % (var1, var2, var3,
928 var3, var4, var2,
929 var1, var9, var1,
930 var3, var4, var1)
931
932 This is just as unreadable, but for different reasons. Here it *is*
933 useful to do this as:
934
935 x = f"{var1} {var2} {var3}" \
936 ...
937 f"{var3} {var4} {var1}"
938
939 As a general rule, though, format-specifiers should be strongly
940 avoided, given that they mix even variable-names directly inside
941 a string.
942
943 This additionally gives text editors (and online web syntax
944 highlighters) the opportunity to colour syntax-highlight the
945 ASCII string (the format) from the variables to be inserted *into*
946 that format. gitweb for example (used by this project) cannot
947 highlight string-formatted code.
948
949 It turns out that colour is processed by the **opposite** hemisphere
950 of the brain from written language. Thus, colour-syntax-highlighting
951 is not just a "nice-to-have", it's **vital** for easier and faster
952 identification of context and an aid to rapid understanding.
953
954 Anything that interferes with that - such as python format-strings -
955 has to take a back seat, regardless of its perceived benefits.
956
957 **If you absolutely must** use python-format-strings, **only** do
958 so by restricting to variables. Create temporary variables if you
959 have to.
960
961 y = '/'.join(a_list)
962 x = f"{y}"
963
964 ### PEP8 format
965
966 * all code needs to conform to pep8. use either pep8checker or better
967 run autopep8. however whenever committing whitespace changes, *make a
968 separate commit* with a commit message "whitespace" or "autopep8 cleanup".
969 * pep8 REQUIRES no more than 80 chars per line. this is non-negotiable. if
970 you think you need greater than 80 chars, it *fundamentally* indicates
971 poor code design. split the code down further into smaller classes
972 and functions.
973
974 ### Docstring checker
975
976 * TBD there is a docstring checker. at the minimum make sure to have
977 an SPD license header, module header docstring, class docstring and
978 function docstrings on at least non-obvious functions.
979
980 ### Clear code commenting and docstrings
981
982 * make liberal but not excessive use of comments. describe a group of
983 lines of code, with terse but useful comments describing the purpose,
984 documenting any side-effects, and anything that could trip you or other
985 developers up. unusual coding techniques should *definitely* contain
986 a warning.
987
988 ### Only one class per module (ish)
989
990 * unless they are very closely related, only have one module (one class)
991 per file. a file only 25 lines long including imports and docstrings
992 is perfectly fine however don't force yourself. again, if unsure,
993 ask on-list.
994
995 ### File and Directory hierarchy
996
997 * *keep files short and simple*. see below as to why
998 * create a decent directory hierarchy but do not go mad. ask for advice
999 if unsure
1000
1001 ### No import star!
1002
1003 * please do not use "from module import \*". it is extremely bad practice,
1004 causes unnecessary resource utilisation, makes code readability and
1005 tracking extremely difficult, and results in unintended side-effects.
1006
1007 Example: often you want to find the code from which a class was imported.
1008 nirmally you go to the top of the file, check the imports, and you know
1009 exactly which file has the class because of the import path. by using
1010 wildcards, you have absolutely *no clue* which wildcard imported which
1011 class or classes.
1012
1013 Example: sometimes you may accidentally have duplicate code maintained
1014 in two or more places. editing one of them you find, puzzlingly, that
1015 the code behaves in some files with the old behaviour, but in others it
1016 works. after a massive amount of investigation, you find that the working
1017 files happen to have a wildcard import of the newer accidental duplicate
1018 class **after** the wildcard import of the older class with exactly the
1019 same name. if you had used explicit imports, you would have spotted
1020 the double import of the class from two separate locations, immediately.
1021
1022 Really. don't. use. wildcards.
1023
1024 More about this here:
1025
1026 * <https://www.asmeurer.com/removestar/>
1027 * <https://rules.sonarsource.com/python/RSPEC-2208>
1028
1029 ### Keep file and variables short but clear
1030
1031 * try to keep both filenames and variable names short but not ridiculously
1032 obtuse. an interesting compromise on imports is "from ridiculousfilename
1033 import longsillyname as lsn", and to assign variables as well: "comb =
1034 m.d.comb" followed by multiple "comb += nmigen_stmt" lines is a good trick
1035 that can reduce code indentation by 6 characters without reducing clarity.
1036
1037 Additionally, use comments just above an obtuse variable in order to
1038 help explain what it is for. In combination with keeping the the module
1039 itself short, other readers will not need to scroll back several pages
1040 in order to understand the code.
1041
1042 Yes it is tempting to actually use the variables as
1043 self-explanatory-comments and generally this can be extremely good
1044 practice. the problem comes when the variable is so long that a function
1045 with several parameters csn no longer fit on a single line, and takes
1046 up five to ten lines rather than one or two. at that point, the length
1047 of the code is adversely affected and thus so is readability by forcing
1048 readers to scroll through reams of pages.
1049
1050 It is a tricky balance: basically use your common sense, or just ask
1051 someone else, "can you understand this code?"
1052
1053 ### Reasons for code structure
1054
1055 Regarding code structure: we decided to go with small modules that are
1056 both easy to analyse, as well as fit onto a single page and be readable
1057 when displayed as a visual graph on a full UHD monitor. this is done
1058 as follows:
1059
1060 * using the capability of nmigen (TODO crossref to example) output the
1061 module to a yosys ilang (.il) file
1062 * in a separate terminal window, run yosys
1063 * at the yosys prompt type "read_ilang modulename.il"
1064 * type "show top" and a graphviz window should appear. note that typing
1065 show, then space, then pressing the tab key twice will give a full list
1066 of submodules (one of which will be "top")
1067
1068 You can now fullsize the graphviz window and scroll around. if it looks
1069 reasonably obvious at 100% zoom, i.e the connections can be clearly
1070 related in your mind back to the actual code (by matching the graph names
1071 against signals and modules in the original nmigen code) and the words are
1072 not tiny when zoomed out, and connections are not total incomprehensible
1073 spaghetti, then congratulations, you have well-designed code. If not,
1074 then this indicates a need to split the code further into submodules
1075 and do a bit more work.
1076
1077 The reasons for doing a proper modularisation job are several-fold:
1078
1079 * firstly, we will not be doing a full automated layout-and-hope
1080 using alliance/coriolis2, we will be doing leaf-node thru tree node
1081 half-automated half-manual layout, finally getting to the floorplan,
1082 then revising and iteratively adjusting.
1083 * secondly, examining modules at the gate level (or close to it) is just
1084 good practice. poor design creeps in by *not* knowing what the tools
1085 are actually doing (word to experienced developers: yes, we know that
1086 the yosys graph != final netlist).
1087 * thirdly, unit testing, particularly formal proofs, is far easier on
1088 small sections of code, and complete in a reasonable time.
1089
1090 ## Special warning / alert to vim users!
1091
1092 Some time around the beginning of 2019 some bright spark decided that
1093 an "auto-recommend-completion-of-stuff" option would be a nice, shiny
1094 idea to enable by default from that point onwards.
1095
1096 This incredibly annoying "feature" results in tabs (or spaces) being
1097 inserted "on your behalf" when you press return on one line, for your
1098 "convenience" of not needing to type lots of spaces/tabs just to get
1099 to the same indentation level.
1100
1101 Of course, this "feature", if you press return on one line in edit
1102 mode and then press "escape", leaves a bundle-of-joy extraneous
1103 whitespace **exactly** where you don't want it, and didn't ask for it,
1104 pooped all over your file.
1105
1106 Therefore, *please*: **before** running "git commit", get into the
1107 habit of always running "git diff", and at the very minimum
1108 speed-skim the entire diff, looking for tell-tale "red squares"
1109 (these show up under bash diff colour-syntax-highlighting) that
1110 inform you that, without your knowledge or consent, vim has
1111 "helpfully" inserted extraneous whitespace.
1112
1113 Remove them **before** git committing because they are not part
1114 of the actual desired code-modifications, and committing them
1115 is a major and constant distraction for reviewers about actual
1116 important things like "the code that actually *usefully* was
1117 modified for that commit"
1118
1119 This has the useful side-effect of ensuring that, right before
1120 the commit, you've got the actual diff right in front of you
1121 in the xterm window, on which you can base the "commit message".
1122
1123 ## Unit tests
1124
1125 For further reading, see the wikipedia page on
1126 [Test-driven Development](https://en.wikipedia.org/wiki/Test-driven_development)
1127
1128 This deserves its own special section. It is extremely important to
1129 appreciate that without unit tests, python projects are simply unviable.
1130 Python itself has over 25,000 individual tests.
1131
1132 This can be quite overwhelming to a beginner developer, especially one
1133 used to writing scripts of only 100 lines in length.
1134
1135 Thanks to Samuel Falvo we learned that writing unit tests as a formal
1136 proof is not only shorter, it's also far more readable and also, if
1137 written properly, provides 100% coverage of corner-cases that would
1138 otherwise be overlooked or require tens to hundreds of thousands of
1139 tests to be run.
1140
1141 No this is not a joke or even remotely hypothetical, this is an actual
1142 real-world problem.
1143
1144 The ieee754fpu requires several hundreds of thousands of tests to be
1145 run (currently needing several days to run them all), and even then we
1146 cannot be absolutely certain that all possible combinations of input have
1147 been tested. With 2^128 permutations to try with 2 64 bit FP numbers
1148 it is simply impossible to even try.
1149
1150 This is where formal proofs come into play.
1151
1152 Samuel illustrated to us that "ordinary" unit tests can then be written
1153 to *augment* the formal ones, serving the purpose of illustrating how
1154 to use the module, more than anything.
1155
1156 However it is appreciated that writing formal proofs is a bit of a
1157 black art. This is where team collaboration particularly kicks in,
1158 so if you need help, ask on the mailing list.
1159
1160 ## Don't comment out unit tests: add them first (as failures) and fix code later
1161
1162 Unit tests serve an additional critical purpose of keeping track of code
1163 that needs to be written. In many cases, you write the unit test *first*,
1164 despite knowing full well that the code doesn't even exist or is completely
1165 broken. The unit test then serves as a constant and important reminder
1166 to actually fix (or write) the code.
1167
1168 Therefore, *do not* comment out unit tests just because they "don't work".
1169 If you absolutely must stop a unit test from running, **do not delete it**.
1170 Simply mark it with an appropriate
1171 ["skip" decorator](https://docs.python.org/3/library/unittest.html#skipping-tests-and-expected-failures),
1172 preferably with a link to a URL in the [bugtracker](https://bugs.libre-soc.org/)
1173 with further details as to why the unit test should not be run.
1174
1175 # Task management guidelines
1176
1177 1. Create the task in appropriate "Product" section with appropriate
1178 "Component" section. Most code tasks generally use "Libre-SOC's
1179 first SOC".
1180 2. Fill in "Depends on" and "Blocks" section whenever appropriate.
1181 Also add as many related ("See Also") links to other bugreports
1182 as possible. bugreports are never isolated.
1183 3. Choose the correct task for a budget allocation. Usually the parent
1184 task is used.
1185 4. Choose the correct NLnet milestone. The best practice is to check
1186 the parent task for a correct milestone.
1187 5. Assign the budget to the task in `"USER=SUM"` form, where "USER"
1188 corresponds to your username and "SUM" corresponds to the actual
1189 budget in EUR. There may be multiple users.
1190 6. When the task is completed, you can begin writing an RFP.
1191 **DO NOT submit it without explicit authorisation and review**.
1192 Leave out your bank and personal address details if you prefer
1193 when sending to the Team Manager for review.
1194 7. Once the RFP is written, notify the Team Manager and obtain their
1195 explicit approval to send it.
1196 8. Once approval is received and the RFP sent, update the `"USER=SUM"`
1197 field to include the submitted date:
1198 `"USER={amount=SUM, submitted=SDATE}"`. The SDATE is entered in
1199 `YYYY-MM-DD` form.
1200 9. Once the task is paid, again notify the Team Manager (IRC is fine),
1201 and update `"USER={amount=SUM, submitted=SDATE}"`
1202 to `"USER={amount=SUM, submitted=SDATE, paid=PDATE}"`. The PDATE is
1203 entered in `YYYY-MM-DD` form, too.
1204
1205 Throughout all of this you should be using budget-sync to check the
1206 database consistency
1207 <https://git.libre-soc.org/?p=utils.git;a=blob;f=README.txt;hb=HEAD>
1208
1209 [[!img bugzilla_RFP_fields.jpg size=640x ]]
1210
1211 # TODO Tutorials
1212
1213 Find appropriate tutorials for nmigen and yosys, as well as symbiyosys.
1214
1215 * Robert Baruch's nmigen tutorials look really good:
1216 <https://github.com/RobertBaruch/nmigen-tutorial>
1217 * Although a verilog example this is very useful to do
1218 <https://symbiyosys.readthedocs.io/en/latest/quickstart.html#first-step-a-simple-bmc-example>
1219 * This tutorial looks pretty good and will get you started
1220 <https://web.archive.org/web/20210123052724/http://blog.lambdaconcept.com/doku.php?id=nmigen:nmigen_install>
1221 and walks not just through simulation, it takes you through using
1222 gtkwave as well.
1223 * There exist several nmigen examples which are also executable
1224 <https://gitlab.com/nmigen/nmigen/tree/master/examples/> exactly as
1225 described in the above tutorial (python3 filename.py -h)
1226 * More nmigen tutorials at [[learning_nmigen]]