HDL_workflow: Added copyright notice info
[libreriscv.git] / HDL_workflow.mdwn
1 [[!toc ]]
2
3 ---
4
5 # HDL workflow
6
7 This section describes the workflow and some best practices for developing
8 the Libre-SOC hardware. We use nmigen, yosys and symbiyosys, and this
9 page is intended not just to help you get set up, it is intended to
10 help advise you of some tricks and practices that will help you become
11 effective team contributors.
12
13 It is particularly important to bear in mind that we are not just
14 "developing code", here: we are creating a "lasting legacy educational
15 resource" for other people to learn from, and for businesses and students
16 alike to be able to use, learn from and augment for their own purposes.
17
18 It is also important to appreciate and respect that we are funded under
19 NLNet's Privacy and Enhanced Trust Programme <http://nlnet.nl/PET>. Full
20 transparency, readability, documentation, effective team communication
21 and formal mathematical proofs for all code at all levels is therefore
22 paramount.
23
24 Therefore, we need not only to be "self-sufficient" (absolutely
25 under no circumstances critically reliant on somebody else's servers
26 **or protocols**) we also need to ensure that everything (including
27 all communication such as the mailing list archives) are recorded,
28 replicable, and accessible in perpetuity. Use of slack or a "forum"
29 either actively prevents or makes that much harder.
30
31 # Collaboration resources
32
33 The main message here: **use the right tool for the right job**.
34
35 * mailing list: general communication and discussion.
36 * irc channel #libre-soc on irc.libera.chat: real(ish)-time communication.
37 * bugtracker: task-orientated, goal-orientated *focussed* discussion.
38 * ikiwiki: document store, information store, and (editable) main website
39 * git repositories: code stores (**not binary or auto-generated output store**)
40 * ftp server (<https://ftp.libre-soc.org/>): large (temporary,
41 auto-generated) file store.
42
43 Note also the lack of a "forum" in the above list. this is very
44 deliberate. forums are a serious distraction when it comes to technical
45 heavily goal-orientated development. recent internet users may enjoy
46 looking up the "AOL metoo postings" meme.
47
48 Note also the complete lack of "social platforms". if we wanted to tell
49 everybody how much better each of us are than anyone else in the team,
50 how many times we made a commit (look at me, look at me, i'm so clever),
51 and how many times we went to the bathroom, we would have installed a
52 social media based project "management" system.
53
54 ## Main contact method: mailing list
55
56 To respect the transparency requirements, conversations need to be
57 public and archived (i.e not skype, not telegram, not discord,
58 and anyone seriously suggesting slack will be thrown to the
59 lions). Therefore we have a mailing list. Everything goes through
60 there. <https://lists.libre-soc.org/mailman/listinfo/libre-soc-dev>
61 therefore please do google "mailing list etiquette" and at the very
62 minimum look up and understand the following:
63
64 * This is a technical mailing list with complex topics. Top posting
65 is completely inappropriate. Don't do it unless you have mitigating
66 circumstances, and even then please apologise and explain ("hello sorry
67 using phone at airport flight soon, v. quick reply: ....")
68 * Always trim context but do not cut excessively to the point where people
69 cannot follow the discussion. Especially do not cut the attribution
70 ("On monday xxx wrote") of something that you are actually replying
71 to.
72 * Use inline replies i.e. reply at the point in the relevant part of
73 the conversation, as if you were actually having a conversation.
74 * Follow standard IETF reply formatting, using ">" for cascaded
75 indentation of other people's replies. If using gmail, please: SWITCH
76 OFF RICH TEXT EDITING.
77 * Please for god's sake do not use "my replies are in a different
78 colour". Only old and highly regarded people still using AOL are allowed
79 to get away with that (such as Mitch).
80 * Start a new topic with a relevant subject line. If an existing
81 discussion changes direction, change the subject line to reflect the
82 new topic (or start a new conversation entirely, without using the
83 "reply" button)
84 * DMARC is a pain on the neck. Try to avoid GPG signed messages. sigh.
85 * Don't send massive attachments. Put them online (no, not on facebook or
86 google drive or anywhere else that demands privacy violations) and provide
87 the link. Which should not require any kind of login to access. ask the
88 listadmin if you don't have anywhere suitable: FTP access can be arranged.
89
90 ### Actionable items from mailing list
91
92 If discussions result in any actionable items, it is important not to
93 lose track of them. Create a bugreport, find the discussion in the
94 archives <https://lists.libre-soc.org/pipermail/libre-soc-dev/>,
95 and put the link actually in the bugtracker as one of the comments.
96
97 At some point in any discussion, the sudden realisation may dawn on one
98 or more people that this is an "actionable" discussion. at that point
99 it may become better to use <https://bugs.libre-soc.org/>
100 itself to continue the discussion rather than to keep on dropping copies
101 of links into the bugtracker. The bugtracker sends copies of comments
102 *to* the list however this is 'one-way' (note from lkcl: because this
103 involves running an automated perl script from email, on every email,
104 on the server, that is a high security risk, and i'm not doing it. sorry.)
105
106 ### Mailing list != editable document store
107
108 Also, please do not use the mailing list as an "information or document
109 store or poor-man's editor" **including not sending large images**.
110 We have the wiki for that. Edit a page and
111 tell people what you did (summarise rather than drop the entire contents
112 at the list) and include the link to the page.
113
114 Or, if it is more appropriate, commit a document (or source code)
115 into the relevant git repository then look up the link in the gitweb
116 source tree browser and post that (in the bugtracker or mailing list)
117 See <https://git.libre-soc.org/>
118
119 ### gmail "spam"ifying the list
120
121 See <https://blog.kittycooper.com/2014/05/keeping-my-mailing-list-emails-out-of-gmails-spam-folder/>
122
123 Basically it is possible to select any message from the list, create a
124 "filter" (under "More"), and, on the 2nd dialog box, click the "never
125 send this to Spam" option.
126
127 ## Bugtracker
128
129 * **LibreSOC bug/task process**: [[libresoc_bug_process]]
130
131 bugzilla. old and highly effective. sign up in the usual way. any
132 problems, ask on the list.
133
134 Please do not ask for the project to be transferred to github or other
135 proprietary nonfree service "because it's soooo convenient", as the
136 lions are getting wind and gout from overfeeding on that one.
137
138 one.
139
140 ## ikiwiki
141
142 Runs the main libre-soc.org site (including this page). effective,
143 stunningly light on resources, and uses a git repository not a database.
144 That means it can be edited offline.
145
146 Usual deal: register an account and you can start editing and contributing
147 straight away.
148
149 Hint: to create a new page, find a suitable page that would link to it,
150 first, then put the link in of the page you want to create, as if the
151 page already exists. Save that page, and you will find a question mark
152 next to the new link you created. click that link, and it will fire up a
153 "create new page" editor.
154
155 Wiki pages are formatted in [[markdown|ikiwiki/markdown]] syntax.
156
157 Hint again: the wiki is backed by a git repository. Don't go overboard
158 but at the same time do not be afraid that you might "damage" or "lose"
159 pages. Although it would be a minor pain, the pages can always be
160 reverted or edited by the sysadmins to restore things if you get in a tiz.
161
162 Assistance in creating a much better theme greatly appreciated. e.g.
163 <http://www.math.cmu.edu/~gautam/sj/blog/20140720-ikiwiki-navbar.html>
164
165 ## git
166
167 We use git. More on this below. We also use
168 [gitolite3](https://gitolite.com/gitolite/) running on a dedicated server.
169 again, it is extremely effective and low resource utilisation. Reminder:
170 lions are involved if github is mentioned.
171
172 [gitweb](https://git.wiki.kernel.org/index.php/Gitweb) is provided which
173 does a decent job. <https://git.libre-soc.org/>
174
175 [Git](https://en.wikipedia.org/wiki/Git) does version control, ie it
176 tracks changes to files so that previous versions can be got back or
177 compared.
178
179 Checklist page [[HDL_workflow/git_checklist]]
180
181 ## ftp server
182
183 <https://ftp.libre-soc.org/> is available for storing large files
184 that do not belong in a git repository, if we have (or ever need)
185 any. Images (etc.) if small and appropriate should go into the
186 wiki, however .tgz archives (etc.) and, at some point, binaries,
187 should be on the ftp server.
188
189 Ask on the list if you have a file that belongs on the ftp server.
190
191 ## server
192
193 As an aside: all this is "old school" and run on a single core 512MB
194 VM with only a 20GB HDD allocation. it costs only 8 GBP per month from
195 mythic-beasts and means that the project is in no way dependent on anyone
196 else - not microsoft, not google, not facebook, not amazon.
197
198 We tried [gitlab](https://about.gitlab.com/). it didn't go well. please
199 don't ask to replace the above extremely resource-efficient services
200 with it.
201
202 # Hardware
203
204 RAM is the biggest requirement. Minimum 16GB, the more the better (32
205 or 64GB starts to reach "acceptable" levels. Disk space is not hugely
206 critical: 256GB SSD should be more than adequate. Simulations and
207 FPGA compilations however are where raw processing power is a must.
208 High end Graphics Cards are nonessential.
209
210 What is particularly useful is to have hi-res screens (curved is
211 *strongly* recommended if the LCD is over 24in wide, to avoid eyeballs
212 going "prism" through long term use), and to have several of them: the
213 more the better. Either a DisplayLink UD160A (or more modern variant)
214 or simply using a second machine (lower spec hardware because it will
215 run editors) is really effective.
216
217 Also it is really recommended to have a UHD monitor (4k - 3840x2160),
218 or at least 2560x1200. If given a choice, 4:3 aspect ratio is better
219 than 16:9 particularly when using several of them. However, caveat
220 (details below): please when editing do not assume that everyone will
221 have access to such high resolution screens.
222
223 # Operating System
224
225 First install and become familiar with
226 [Debian](https://www.debian.org/) ([Ubuntu](https://ubuntu.com/)
227 if you absolutely
228 must) for standardisation cross-team and so that toolchain installation
229 is greatly simplified. yosys in particular warns that trying to use
230 Windows, BSD or MacOS will get you into a world of pain.
231
232 Only a basic GUI desktop is necessary: fvwm2, xfce4, lxde are perfectly
233 sufficient (alongside wicd-gtk for network management). Other more
234 complex desktops can be used however may consume greater resources.
235
236 # editors and editing
237
238 Whilst this is often a personal choice, the fact that many editors are
239 GUI based and run full-screen with the entire right hand side *and* middle
240 *and* the majority of the left side of the hi-res screen entirely unused
241 and bereft of text leaves experienced developers both amused and puzzled.
242
243 At the point where such full-screen users commit code with line lengths
244 well over 160 characters, that amusement quickly evaporates.
245
246 Where the problems occur with full-screen editor usage is when a project
247 is split into dozens if not hundreds of small files (as this one is). At
248 that point it becomes pretty much essential to have as many as six to
249 eight files open *and on-screen* at once, without overlaps i.e. not in
250 hidden tabs, next to at least two if not three additional free and clear
251 terminals into which commands are regularly and routinely typed (make,
252 git commit, nosetests3 etc). Illustrated with the following 3840x2160
253 screenshot (click to view full image), where *every one* of those 80x70
254 xterm windows is *relevant to the task at hand*.
255
256 [[!img 2020-01-24_11-56.png size=640x ]]
257
258 (hint/tip: fvwm2 set up with "mouse-over to raise focus, rather than
259 additionally requiring a mouse click, can save a huge amount of cumulative
260 development time here, switching between editor terminal(s) and the
261 command terminals).
262
263 Once this becomes necessary, it it turn implies that having greater
264 than 80 chars per line - and running editors full-screen - is a severe
265 hinderance to an essential *and highly effective* workflow technique.
266
267 Additionally, care should be taken to respect that not everyone will have
268 200+ column editor windows and the eyesight of a hawk. They may only have
269 a 1280 x 800 laptop which barely fits two 80x53 xterms side by side.
270 Consequently, having excessively long functions is also a hindrance to
271 others, as such developers with limited screen resources would need to
272 continuously page-up and page-down to read the code even of a single
273 function, in full.
274
275 This helps explain in part, below, why compliance with
276 [pep8](https://pep8.org/) is enforced, including its 80 character limit.
277 In short: not everyone has the same "modern" GUI workflow or has access
278 to the same computing resources as you, so please do respect that.
279
280 More on this concept is
281 [here](https://www.linuxjournal.com/content/line-length-limits).
282 Note *very pointedly* that Linus Torvalds *specifically* states that
283 he does not want Linux kernel development to become the exclusive
284 domain of the "wealthy". That means **no** to assumptions about
285 access to ultra-high resolution screens.
286
287 # Software prerequisites<a name="software-prerequisites"></a>
288
289 **Please make sure if you install manually that you install dependencies
290 in strict order. Failing to adhere to this will result in pip3 downloading
291 unauthorised older software versions. See
292 <http://lists.libre-soc.org/pipermail/libre-soc-dev/2021-September/003666.html>**
293
294 Whilst many resources online advocate "`sudo`" in front of all root-level
295 commands below, this quickly becomes tiresome. run "`sudo bash`", get a
296 root prompt, and save yourself some typing.
297
298 * sudo bash
299 * apt-get install vim exuberant-ctags
300 * apt-get install build-essential
301 * apt-get install git python3.7 python3.7-dev python3-nose
302 * apt-get install graphviz xdot gtkwave
303 * apt-get install python3-venv
304 * apt-get install python-virtualenv # this is an alternative to python3-venv
305 * apt-get install tcl-dev libreadline-dev bison flex libffi-dev iverilog
306 * return to user prompt (ctrl-d)
307
308 (The above assumes that you are running Debian.)
309
310 This will get you python3 and other tools that are
311 needed. [graphviz](https://graphviz.org/) is essential
312 for showing the interconnections between cells, and
313 [gtkwave](http://gtkwave.sourceforge.net/) is essential for debugging.
314
315 If you would like to save yourself a lot more typing, check out the
316 [dev-env-setup](https://git.libre-soc.org/?p=dev-env-setup.git;a=summary)
317 repository, examine the scripts there and use them to automate much of
318 the process below.
319
320 If you would like just to install only the apt dependencies use
321 [install-hdl-apt-reqs](https://git.libre-soc.org/?p=dev-env-setup.git;a=blob;f=install-hdl-apt-reqs;hb=HEAD) instead.
322
323 This page gives more details and a step by step process : [[HDL_workflow/devscripts]]
324
325 ## git
326
327 Look up good tutorials on how to use git effectively. There are so many
328 it is hard to recommend one. This is however essential. If you are not
329 comfortable with git, and you let things stay that way, it will seriously
330 impede development progress.
331
332 If working all day you should expect to be making at least two commits per
333 hour, so should become familiar with it very quickly. If you are *not*
334 doing around 2 commits per hour, something is wrong and you should read
335 the workflow instructions below more carefully, and also ask for advice
336 on the mailing list.
337
338 Worth noting: *this project does not use branches*. All code is committed
339 to master and we *require* that it be either zero-impact additions or that
340 relevant unit tests pass 100%. This ensures that people's work does not
341 get "lost" or isolated and out of touch due to major branch diversion,
342 and that people communicate and coordinate with each other.
343
344 This is not a hard rule: under special cirmstances branches can be useful.
345 They should not however be considered "routine".
346
347 For advice on commit messages see
348 [here](https://tbaggery.com/2008/04/19/a-note-about-git-commit-messages.html),
349 and [here](https://github.com/torvalds/subsurface-for-dirk/blob/master/README.md#contributing)).
350
351 ## yosys
352
353 Follow the source code (git clone) instructions here, do **not** use
354 the "stable" version (do not download the tarball):
355 <https://github.com/YosysHQ/yosys>
356
357 Or, alternatively, use the
358 [hdl-tools-yosys](https://git.libre-soc.org/?p=dev-env-setup.git;a=blob;f=hdl-tools-yosys;hb=HEAD)
359 script (which also installs symbiyosys and its dependencies)
360
361 Do not try to use a fixed revision of yosys (currently 0.9), nmigen is
362 evolving and frequently interacts with yosys.
363
364 [Yosys](https://github.com/YosysHQ/yosys is a framework for Verilog RTL.
365 [Verilog](https://en.wikipedia.org/wiki/Verilog) is a hardware description
366 language.
367 RTL [Register Transfer
368 Level](https://en.wikipedia.org/wiki/Register-transfer_level)
369 models how data moves between
370 [registers](https://en.wikipedia.org/wiki/Hardware_register).
371
372 ## symbiyosys
373
374 To install follow the [instructions
375 here](https://symbiyosys.readthedocs.io/en/latest/install.html)
376 Once done look at [A simple BMC
377 example](https://symbiyosys.readthedocs.io/en/latest/quickstart.html)
378
379 You do not have to install all of those (avy, boolector can be left
380 out if desired) however the more that are installed the more effective
381 the formal proof scripts will be (less resource utilisation in certain
382 circumstances).
383
384 [SymbiYosys](https://symbiyosys.readthedocs.io/en/latest/) (sby) is a
385 front-end driver program for Yosys-based formal hardware verification
386 flows.
387
388 ## nmigen (TM)
389
390 *nmigen is a registered trademark of M-Labs <https://uspto.report/TM/88980893>*
391
392 **PLEASE NOTE: it is critical to install nmigen as the first dependency
393 prior to installing any further python-based Libre-SOC HDL repositories.
394 If "pip3 list" shows that nmigen has been auto-installed please remove it**
395
396 [nmigen](https://m-labs.hk/gateware/nmigen/) may be installed as follows:
397
398 * mkdir ~/src
399 * cd !$
400 * git clone https://gitlab.com/nmigen/nmigen.git
401 * cd nmigen
402 * sudo bash
403 * python3 setup.py develop
404 * ctrl-d
405
406 Testing can then be carried out with "python3 setup.py test"
407
408 nmigen is a Python toolbox for building complex digital hardware.
409
410 ## Softfloat and sfpy
411
412 These are a test suite dependency for the
413 [ieee754fpu](https://www.gaisler.com/index.php/products/ipcores/ieee754fpu)
414 library, and will be changed in the future to use Jacob's
415 [simple-soft-float](https://crates.io/crates/simple-soft-float) library.
416 In the meantime, sfpy can be built as follows:
417
418 git clone --recursive https://github.com/billzorn/sfpy.git
419 cd sfpy
420 git apply /path/to/ieee754fpu/sfpy.patch
421 cd SoftPosit
422 git apply ../softposit_sfpy_build.patch
423 git apply /path/to/ieee754fpu/SoftPosit.patch
424 cd ../berkely-softfloat-3
425 # Note: Do not apply the patch included in sfpy for berkely-softfloat,
426 # it contains the same changes as this one
427 git apply /path/to/ieee754fpu/berkeley-softfloat.patch
428 cd ..
429
430 # prepare a virtual environment for building
431 python3 -m venv .env
432
433 # or, if you prefer the old way:
434 # virtualenv -p python3 .env
435
436 # install dependencies
437 source .env/bin/activate
438 pip3 install --upgrade -r requirements.txt
439
440 # build
441 make lib -j$(nproc)
442 make cython
443 make inplace -j$(nproc)
444 make wheel
445
446 # install
447 deactivate # deactivates venv, optional
448 pip3 install dist/sfpy*.whl
449
450 You can test your installation by doing the following:
451
452 python3
453 >>> from sfpy import Posit8
454 >>> Posit8(1.3)
455
456 It should print out `Posit8(1.3125)`
457
458 ## qemu, cross-compilers, gdb
459
460 As we are doing POWER ISA, POWER ISA compilers, toolchains and
461 emulators are required.
462 Again, if you want to save yourself some typing, use the dev scripts.
463 [install-hdl-apt-reqs](https://git.libre-soc.org/?p=dev-env-setup.git;a=blob;f=install-hdl-apt-reqs;hb=HEAD)
464 script will install the qemu;
465 [ppc64-gdb-gcc](https://git.libre-soc.org/?p=dev-env-setup.git;a=blob;f=ppc64-gdb-gcc;hb=HEAD)
466 script will install the toolchain and the corresponding debugger.
467 The steps are provided below only for reference; when in doubt,
468 consider checking and running the scripts.
469
470 Install powerpc64 gcc:
471
472 apt-get install gcc-8-powerpc64-linux-gnu
473
474 Install qemu:
475
476 apt-get install qemu-system-ppc
477
478 Install gdb from source. Obtain the required tarball matching
479 the version of gcc (8.3) from here <https://ftp.gnu.org/gnu/gdb/>,
480 unpack it, then:
481
482 cd gdb-8.3 (or other location)
483 mkdir build
484 cd build
485 ../configure --srcdir=.. --host=x86_64-linux --target=powerpc64-linux-gnu
486 make -j$(nproc)
487 make install
488
489 [gdb](https://en.wikipedia.org/wiki/GNU_Debugger) lets you debug running
490 programs. [qemu](https://www.qemu.org/) emulates processors, you can
491 run programs under qemu.
492
493 ## power-instruction-analyzer (pia)
494
495 We have a custom tool built in Rust by programmerjake to help analyze
496 the OpenPower instructions' execution on *actual* hardware.
497
498 Install Rust:
499
500 curl --proto '=https' --tlsv1.2 -sSf https://sh.rustup.rs | sh
501
502 Make sure we have the correct and up-to-date rust compiler (rustc & cargo):
503
504 rustup default stable
505 rustup update
506
507 Install the Python extension from git source by doing the following:
508
509 git clone https://salsa.debian.org/Kazan-team/power-instruction-analyzer.git pia
510 cd pia
511 ./libre-soc-install.sh
512
513 ## Chips4Makers JTAG
514
515 As this is an actual ASIC, we do not rely on an FPGA's JTAG TAP
516 interface, instead require a full complete independent implementation
517 of JTAG. Staf Verhaegen has one, with a full test suite, and it is
518 superb and well-written. The Libre-SOC version includes DMI (Debug
519 Memory Interface):
520
521 git clone https://git.libre-soc.org/git/c4m-jtag.git/
522 cd c4m-jtag
523 python3 setup.py develop
524
525 Included is an IDCODE tap point, Wishbone Master (for direct memory read
526 and write, fully independent of the core), IOPad redirection and testing,
527 and general purpose shift register capability for any custom use.
528
529 We added a DMI to JTAG bridge in LibreSOC which is
530 directly connected to the core, to access registers and
531 to be able to start and stop the core and change the PC.
532 In combination with the JTAG Wishbone interface the test
533 [ASIC](https://en.wikipedia.org/wiki/Application-specific_integrated_circuit)
534 can have a bootloader uploaded directly into onboard
535 [SRAM](https://en.wikipedia.org/wiki/Static_random-access_memory) and
536 execution begun.
537
538 [Chips4Makers](https://chips4makers.io/) make it possible for makers
539 and hobbyists to make their own open source chips.
540
541 [JTAG](https://en.wikipedia.org/wiki/JTAG) (Joint Test Action Group) is
542 an industry standard for verifying designs and testing printed circuit
543 boards after manufacture.
544
545 The [Wishbone
546 bus](https://en.wikipedia.org/wiki/Wishbone_%28computer_bus%29) is an open
547 source hardware computer bus intended to let the parts of an integrated
548 circuit communicate with each other.
549
550 ## Coriolis2
551 See [[HDL_workflow/coriolis2]] page, for those people doing layout work.
552
553 ## Nextpnr
554
555 A portable FPGA place and route tool.
556
557 See [[HDL_workflow/nextpnr]] page for installation instructions of nextpnr with ECP5 support for Lattice FPGA ECP5 series. Also see
558 [[HDL_workflow/ECP5_FPGA]] for connecting up to JTAG with a ULX3S
559 and the Lattice VERSA_ECP5.
560
561 ## Nextpnr-xilinx
562
563 An open source place and route framework for Xilinx FPGAs using Project Xray. We will use it for Xilinx 7-series FPGAs like Artix-7.
564
565 One of the ways to get Arty A7 100t Digilent FPGA board working.
566
567 See [[HDL_workflow/nextpnr-xilinx]] for installation instructions and dependencies.
568
569
570 ## Verilator
571
572 The fastest Verilog and SystemVerilog simulator. It compiles Verilog to C++ or SystemC.
573
574 Advise use only v4.106 at the moment.
575
576 See [[HDL_workflow/verilator]] page for installation instructions.
577
578 ## GHDL
579
580 GHDL is a shorthand for G Hardware Design Language. It is a VHDL analyzer, compiler, simulator and (experimental) synthesizer that can process (nearly) any VHDL design.
581
582 VHDL is an acronym for Very High Speed Integrated Circuit (VHSIC) Hardware Description Language (HDL), which is a programming language used to describe a logic circuit by function, data flow behavior, or structure.
583
584 Unlike some other simulators, GHDL is a compiler: it directly translates a VHDL file to machine code, without using an intermediary language such as C or C++. Therefore, the compiled code should be faster and the analysis time should be shorter than with a compiler using an intermediary language.
585
586 GHDL aims at implementing VHDL as defined by IEEE 1076. It supports the 1987, 1993 and 2002 revisions and, partially, 2008. PSL is also partially supported.
587
588 See [[HDL_workflow/ghdl]] page for installation instructions.
589
590 ## Icarus Verilog
591
592 Icarus Verilog is a Verilog simulation and synthesis tool. It operates as a compiler, compiling source code written in Verilog (IEEE-1364) into some target format.
593
594 See [[HDL_workflow/iverilog]] page for installation instructions.
595
596 ## Cocotb
597
598 cocotb is a COroutine based COsimulation TestBench environment for verifying VHDL and SystemVerilog RTL using Python.
599
600 See [[HDL_workflow/cocotb]] page for installation instructions.
601
602 ## Symbiflow
603
604 A fully open source toolchain for the development of FPGAs. Currently it targets Xilinx 7-series, Lattice iCE40 and ECP5, Quicklogic EOS S3.
605
606 One way to get the Arty A7 100t Digilent FPGA board working.
607
608 See [[HDL_workflow/symbiflow]] for installation instructions
609 and dependencies.
610
611 ## FPGA/Board Boot-Loaders-Programmers
612
613 Open source FPGA/Board boot-loaders and programmers for ULX3S, ECP5 and
614 OrangeCrab.
615
616 Currently these programs dfu-util, openFPGALoader, ujprog, fujprog,
617 xc3sprog and ecpprog are going to be used.
618
619 See [[HDL_workflow/fpga-boot-loaders-progs]] for installation instructions and dependencies.
620
621 ## ls2 peripheral fabric
622
623 [[HDL_workflow/ls2]]
624
625 # Registering for git repository access<a name="gitolite3_access"></a>
626
627 After going through the onboarding process and having agreed to take
628 responsibility for certain tasks, ask on the mailing list for git
629 repository access, sending in a public key (`id_rsa.pub`). If you do
630 not have one then generate it with `ssh-keygen -t rsa`. You will find it
631 in `~/.ssh`
632
633 NEVER SEND ANYONE THE PRIVATE KEY. By contrast the public key, on
634 account of being public, is perfectly fine to make... err... public.
635
636 Create a file `~/.ssh/config` with the following lines:
637
638 Host git.libre-soc.org
639 Port 922
640
641 Test that you have access with this command:
642
643 ssh -v -p922 gitolite3@git.libre-soc.org
644
645 Please note: **DO NOT TYPE A PASSWORD** - the server gets hit by a lot of
646 port-scanning, and detection of password failures are used to instantly
647 ban IP addresses.
648
649 Wait for the Project Admin to confirm that the ssh key has been added
650 to the required repositories. Once confirmed, you can clone any of the
651 repos at https://git.libre-soc.org/:
652
653 git clone gitolite3@git.libre-soc.org:REPONAME.git
654
655 Alternatively, the .ssh/config can be skipped and this used:
656
657 git clone ssh://gitolite3@git.libre-soc.org:922/REPONAME.git
658
659 Note: **DO NOT ATTEMPT TO LOG IN TO THE SERVER WITH A PERSONAL ACCOUNT**.
660 fail2ban is running and, due to repeated persistent port-scanning spammers
661 is set up to instantly ban any unauthorised ssh access for up to two weeks.
662 This keeps log file sizes down on the server (which is resource-constrained).
663 If you are wondering why this is done, it's a *lot* of port-scans.
664
665 Therefore, *only* ssh in to server with the gitolite3 account, *only*
666 on port 922, and *only* once the systems administrator has given you
667 the all-clear that the ssh key has been added.
668
669 # git configuration
670
671 Although there are methods online which describe how (and why) these
672 settings are normally done, honestly it is simpler and easier to open
673 ~/.gitconfig and add them by hand.
674
675 core.autocrlf is a good idea to ensure that anyone adding DOS-formatted
676 files they don't become a pain. pull.rebase is something that is greatly
677 preferred for this project because it avoids the mess of "multiple
678 extra merge git tree entries", and branch.autosetuprebase=always will,
679 if you want it, always ensure that a new git checkout is set up with rebase.
680
681 [core]
682 autocrlf = input
683 [push]
684 default = simple
685 [pull]
686 rebase = true
687 [branch]
688 autosetuprebase = always
689
690 # Checking out the HDL repositories
691
692 Before running the following, install the
693 dependencies. This is easiest done with this script
694 <https://git.libre-soc.org/?p=dev-env-setup.git;a=blob;f=install-hdl-apt-reqs;hb=HEAD>
695
696 **It is critically important to install these in STRICT order, otherwise
697 pip3 interferes and performs unauthorised downloads without informing
698 you of what it is doing**.
699
700 * mkdir ~/src
701 * cd !$
702 * git clone https://gitlab.com/nmigen/nmigen
703 * git clone https://gitlab.com/nmigen/nmigen-boards
704 * git clone https://gitlab.com/nmigen/nmigen-soc
705 * git clone https://gitlab.com/nmigen/nmigen-stdio
706 * git clone gitolite3@git.libre-soc.org:c4m-jtag.git
707 * git clone gitolite3@git.libre-soc.org:nmutil.git
708 * git clone gitolite3@git.libre-soc.org:openpower-isa.git
709 * git clone gitolite3@git.libre-soc.org:ieee754fpu.git
710 * git clone gitolite3@git.libre-soc.org:soc.git
711
712 In each of these directories, **in the order listed**, track down the
713 `setup.py` file, then, as root (`sudo bash`), run the following:
714
715 * python3 setup.py develop
716
717 The reason for using "develop" mode is that the code may be edited
718 in-place yet still imported "globally". There are variants on this theme
719 for multi-user machine use however it is often just easier to get your
720 own machine these days.
721
722 The reason for the order is because soc depends on ieee754fpu, and
723 ieee754fpu depends on nmutil. If you do not follow the listed order
724 pip3 will go off and download an arbitrary version without your
725 consent.
726
727 If "`python3 setup.py install`" is used it is a pain: edit, then
728 install. edit, then install. It gets extremely tedious, hence why
729 "develop" was created.
730
731 If you prefer you can use this script instead: of course you checked it
732 in advance and accept full responsibility.
733 <https://git.libre-soc.org/?p=dev-env-setup.git;a=blob;f=hdl-dev-repos;hb=HEAD>
734
735 # Development Rules
736
737 Team communication:
738
739 * new members, add yourself to the [[about_us]] page and create yourself
740 a home page using someone else's page as a template.
741 * communicate on the mailing list or the bugtracker an intent to take
742 responsibility for a particular task.
743 * assign yourself as the bug's owner
744 * *keep in touch* about what you are doing, and why you are doing it.
745 * edit your home page regularly, particularly to track tasks so that
746 they can be paid by NLNet.
747 * if you cannot do something that you have taken responsibility for,
748 then unless it is a dire personal emergency please say so, on-list. we
749 won't mind. we'll help sort it out.
750
751 Regarding the above it is important that you read, understand, and agree
752 to the [[charter]] because the charter is about ensuring that we operate
753 as an effective organisation. It's *not* about "setting rules and meting
754 out punishment".
755
756 ## Coding
757
758 for actual code development
759
760 ### Copyright Notices
761
762 **All code must have copyright and grant notices (where work was done
763 under budget).**
764
765 * [Example from soc.git repo](https://git.libre-soc.org/?p=soc.git;a=blob;f=src/soc/fu/div/experiment/goldschmidt_div_sqrt.py;h=3f7c2480742d6913859461da120099385f99d18a;hb=HEAD)
766
767 Breakdown of the header in the above example:
768
769 - Code was worked on by Jacob Lifshay during 2022.
770 - Work was done under LibreSOC's Crypto Router
771 [grant](https://libre-soc.org/nlnet_2021_crypto_router/) submitted to NLnet.
772 NLnet grant code is `2021-02-052`.
773 - The NLnet grant was under the
774 [NLnet Assure fund](https://nlnet.nl/assure).
775 - Financial support for NGI Assure comes from European Commission's
776 [Next Generation Internet](https://ngi.eu/) Programme,
777 grant agreement no. 957073.
778
779 Template:
780
781 ```
782 # SPDX-License-Identifier: LGPL-3-or-later
783 # Copyright 202X [Name] [email]
784 #
785 # Funded by NLnet [Programme Name] Programme [202X-YY-ZZZ], [NLnet URL] part
786 # of [EU Programme Name] 202X EU Programme [Programme Number].
787 ```
788
789 ### Plan unit tests
790
791 * plan in advance to write not just code but a full test suite for
792 that code. **this is not optional**. large python projects that do not
793 have unit tests **FAIL** (see separate section below).
794 * Prioritise writing formal proofs and a single clear unit test that is more
795 like a "worked example".
796 We receive NLNet funds for writing formal proofs, plus they
797 cover corner cases and take far less time to write
798
799 ### Commit tested or zero-dependent code
800
801 * only commit code that has been tested (or is presently unused). other
802 people will be depending on you, so do take care not to screw up.
803 not least because, as it says in the [[charter]] it will be your
804 responsibility to fix. that said, do not feel intimidated: ask for help
805 and advice, and you'll get it straight away.
806
807 ### Commit often
808
809 * commit often. several times a day, and "git push" it. this is
810 collaboration. if something is left even overnight uncommitted and not
811 pushed so that other people can see it, it is a red flag.
812 * if you find
813 yourself thinking "i'll commit it when it's finished" or "i don't want to
814 commit something that people might criticise" *this is not collaboration*,
815 it is making yourself a bottleneck. pair-programming is supposed to help
816 avoid this kind of thing however pair-programming is difficult to organise
817 for remote collaborative libre projects (suggestions welcomed here)
818
819 ### Enable editor auto-detection of file changes by external programs
820
821 This is important. "`git pull`" will merge in changes. If you then
822 arbitrarily save a file without re-loading it, you risk destroying
823 other people's work.
824
825 You can avoid damaging the repositories by following some simple procedures:
826
827 run appropriate unit tests
828 git pull
829 run appropriate unit tests again (checks other people's work)
830 git diff # and actually read and review the output
831 git status # check for any missing files
832 git commit # with appropriate arguments and message
833 git push # always always always do this
834
835 ### Absolutely no auto-generated output
836
837 * **do not commit autogenerated output**. write a shell script and commit
838 that, or add a `Makefile` to run the command that generates the output, but
839 **do not** add the actual output of **any** command to the repository.
840 ever. this is really important. even if it is a human-readable file
841 rather than a binary object file.
842 * it is very common to add PDFs (the result of running `latex2pdf`) or
843 configure.in (the result of running `automake`), they are an absolute
844 nuisance and interfere hugely with git diffs, as well as waste hard
845 disk space *and* network bandwidth. don't do it.
846 * do not add multi-megabyte or multi-gigabyte "test data".
847 use shell scripts and commit that, which automatically downloads the
848 "test data" from a well-known known-good reliable location instead.
849
850 ### Write commands that do tasks and commit those
851
852 * if the command needed to create any given autogenerated output is not
853 currently in the list of known project dependencies, first consult on
854 the list if it is okay to make that command become a hard dependency of
855 the project (hint: java, node.js php and .NET commands may cause delays
856 in response time due to other list participants laughing hysterically),
857 and after a decision is made, document the dependency and how its source
858 code is obtained and built (hence why it has to be discussed carefully)
859 * if you find yourself repeating commands regularly, chances are high
860 that someone else will need to run them, too. clearly this includes
861 yourself, therefore, to make everyone's lives easier including your own,
862 put them into a `.sh` shell script (and/or a `Makefile`), commit them to
863 the repository and document them at the very minimum in the README,
864 INSTALL.txt or somewhere in a docs folder as appropriate. if unsure,
865 ask on the mailing list for advice.
866
867 ### Keep commits single-purpose
868
869 * edit files making minimal *single purpose* modifications (even if
870 it involves multiple files. Good extreme example: globally changing
871 a function name across an entire codebase is one purpose, one commit,
872 yet hundreds of files. miss out one of those files, requiring multiple
873 commits, and it actually becomes a nuisance).
874
875 ### Run unit tests prior to commits
876
877 * prior to committing make sure that relevant unit tests pass, or that
878 the change is a zero-impact addition (no unit tests fail at the minimum)
879
880 ### Do not break existing code
881
882 * keep working code working **at all times**. find ways to ensure that
883 this is the case. examples include writing alternative classes that
884 replace existing functionality and adding runtime options to select
885 between old and new code.
886
887 ### Small commits with relevant commit message
888
889 * commit no more than around 5 to 10 lines at a time, with a CLEAR message
890 (no "added this" or "changed that").
891 * if as you write you find that the commit message involves a *list* of
892 changes or the word "and", then STOP. do not proceed: it is a "red flag"
893 that the commit has not been properly broken down into separate-purpose
894 commits. ask for advice on-list on how to proceed.
895
896 ### *Git commit message format*
897
898 * Based on [bug #1126#c40](https://bugs.libre-soc.org/show_bug.cgi?id=1126#c40)
899
900 1. Every commit MUST start with a short title, up to 50 characters.
901 2. The commit title MUST contain either subsystem, or a file path,
902 or a subsystem/path, or a subsystem/subsubsystem combination, which got
903 modified or introduced, and a short summary. These parts must be separated
904 by the semicolon.
905 3. A good rule is to imagine that the short message begins with
906 "if this patch is applied, it will". For example, a good title is
907 "X: update Y", not "updated Y in X".
908 4. After the title, there must be an empty line, which documents the
909 changes. The limit is 72 characters per line.
910 5. The long description can be omitted if the short description provides
911 enough information or if the commit itself is simple enough.
912
913 Example:
914
915 ```
916 subsystem/file.py: document usage
917
918 Here goes the long description, which explains everything. First of all,
919 we stick to limit of 72 characters. Then, perhaps, we'd like to explain
920 the rationale in more details.
921 ```
922
923 It is suggested to stick to common sense whenever choosing subsystem names
924 or files or long descriptions.
925
926 Primary concerns are:
927
928 1. short titles
929 2. short summaries
930 3. wording for the first line
931
932 The rest is up for the committers.
933
934 ### Exceptions to small commit: atomic single purpose commit
935
936 * if it is essential to commit large amounts of code, ensure that it
937 is **not** in use **anywhere** by any other code. then make a *small*
938 (single purpose) followup commit which actually puts that code into use.
939
940 This last rule is kinda flexible, because if you add the code *and* add
941 the unit test *and* added it into the main code *and* ran all relevant
942 unit tests on all cascade-impacted areas by that change, that's perfectly
943 fine too. however if it is the end of a day, and you need to stop and
944 do not have time to run the necessary unit tests, do *not* commit the
945 change which integrates untested code: just commit the new code (only)
946 and follow up the next day *after* running the full relevant unit tests.
947
948 ### Why such strict rules?
949
950 The reason for all the above is because python is a dynamically typed
951 language. make one tiny change at the base level of the class hierarchy
952 and the effect may be disastrous.
953
954 It is therefore worth reiterating: make absolutely certain that you *only*
955 commit working code or zero-impact code.
956
957 Therefore, if you are absolutely certain that a new addition (new file,
958 new class, new function) is not going to have any side-effects, committing
959 it (a large amount of code) is perfectly fine.
960
961 As a general rule, however, do not use this an an excuse to write code
962 first then write unit tests as an afterthought. write *less* code *in
963 conjunction* with its (more basic) unit tests, instead. then, folliw up with
964 additions and improvements.
965
966 The reason for separating out commits to single purpose only becomes
967 obvious (and regretted if not followed) when, months later, a mistake
968 has to be tracked down and reverted. if the commit does not have an
969 easy-to-find message, it cannot even be located, and once found, if the
970 commit confuses several unrelated changes, not only the diff is larger
971 than it should be, the reversion process becomes extremely painful.
972
973 ### PHP-style python format-strings
974
975 As the name suggests, "PHP-style" is not given as a compliment.
976 Format-strings - `f"{variable} {pythoncodefragment}" are a nightmare
977 to read. The lesson from PHP, Zope and Plone: when code is embedded,
978 the purpose of the formatting - the separation of the format from
979 the data to be placed in it - is merged, and consequently become
980 unreadable.
981
982 By contrast, let us imagine a situation where 12 variables need to
983 be inserted into a string, four of which are the same variablename:
984
985 x = "%s %s %s %s %s %s %s %s %s %s %s %s" % (var1, var2, var3,
986 var3, var4, var2,
987 var1, var9, var1,
988 var3, var4, var1)
989
990 This is just as unreadable, but for different reasons. Here it *is*
991 useful to do this as:
992
993 x = f"{var1} {var2} {var3}" \
994 ...
995 f"{var3} {var4} {var1}"
996
997 As a general rule, though, format-specifiers should be strongly
998 avoided, given that they mix even variable-names directly inside
999 a string.
1000
1001 This additionally gives text editors (and online web syntax
1002 highlighters) the opportunity to colour syntax-highlight the
1003 ASCII string (the format) from the variables to be inserted *into*
1004 that format. gitweb for example (used by this project) cannot
1005 highlight string-formatted code.
1006
1007 It turns out that colour is processed by the **opposite** hemisphere
1008 of the brain from written language. Thus, colour-syntax-highlighting
1009 is not just a "nice-to-have", it's **vital** for easier and faster
1010 identification of context and an aid to rapid understanding.
1011
1012 Anything that interferes with that - such as python format-strings -
1013 has to take a back seat, regardless of its perceived benefits.
1014
1015 **If you absolutely must** use python-format-strings, **only** do
1016 so by restricting to variables. Create temporary variables if you
1017 have to.
1018
1019 y = '/'.join(a_list)
1020 x = f"{y}"
1021
1022 ### PEP8 format
1023
1024 * all code needs to conform to pep8. use either pep8checker or better
1025 run autopep8. however whenever committing whitespace changes, *make a
1026 separate commit* with a commit message "whitespace" or "autopep8 cleanup".
1027 * pep8 REQUIRES no more than 80 chars per line. this is non-negotiable. if
1028 you think you need greater than 80 chars, it *fundamentally* indicates
1029 poor code design. split the code down further into smaller classes
1030 and functions.
1031
1032 ### Docstring checker
1033
1034 * TBD there is a docstring checker. at the minimum make sure to have
1035 an SPD license header, module header docstring, class docstring and
1036 function docstrings on at least non-obvious functions.
1037
1038 ### Clear code commenting and docstrings
1039
1040 * make liberal but not excessive use of comments. describe a group of
1041 lines of code, with terse but useful comments describing the purpose,
1042 documenting any side-effects, and anything that could trip you or other
1043 developers up. unusual coding techniques should *definitely* contain
1044 a warning.
1045
1046 ### Only one class per module (ish)
1047
1048 * unless they are very closely related, only have one module (one class)
1049 per file. a file only 25 lines long including imports and docstrings
1050 is perfectly fine however don't force yourself. again, if unsure,
1051 ask on-list.
1052
1053 ### File and Directory hierarchy
1054
1055 * *keep files short and simple*. see below as to why
1056 * create a decent directory hierarchy but do not go mad. ask for advice
1057 if unsure
1058
1059 ### No import star!
1060
1061 * please do not use "from module import \*". it is extremely bad practice,
1062 causes unnecessary resource utilisation, makes code readability and
1063 tracking extremely difficult, and results in unintended side-effects.
1064
1065 Example: often you want to find the code from which a class was imported.
1066 nirmally you go to the top of the file, check the imports, and you know
1067 exactly which file has the class because of the import path. by using
1068 wildcards, you have absolutely *no clue* which wildcard imported which
1069 class or classes.
1070
1071 Example: sometimes you may accidentally have duplicate code maintained
1072 in two or more places. editing one of them you find, puzzlingly, that
1073 the code behaves in some files with the old behaviour, but in others it
1074 works. after a massive amount of investigation, you find that the working
1075 files happen to have a wildcard import of the newer accidental duplicate
1076 class **after** the wildcard import of the older class with exactly the
1077 same name. if you had used explicit imports, you would have spotted
1078 the double import of the class from two separate locations, immediately.
1079
1080 Really. don't. use. wildcards.
1081
1082 More about this here:
1083
1084 * <https://www.asmeurer.com/removestar/>
1085 * <https://rules.sonarsource.com/python/RSPEC-2208>
1086
1087 ### Keep file and variables short but clear
1088
1089 * try to keep both filenames and variable names short but not ridiculously
1090 obtuse. an interesting compromise on imports is "from ridiculousfilename
1091 import longsillyname as lsn", and to assign variables as well: "comb =
1092 m.d.comb" followed by multiple "comb += nmigen_stmt" lines is a good trick
1093 that can reduce code indentation by 6 characters without reducing clarity.
1094
1095 Additionally, use comments just above an obtuse variable in order to
1096 help explain what it is for. In combination with keeping the the module
1097 itself short, other readers will not need to scroll back several pages
1098 in order to understand the code.
1099
1100 Yes it is tempting to actually use the variables as
1101 self-explanatory-comments and generally this can be extremely good
1102 practice. the problem comes when the variable is so long that a function
1103 with several parameters csn no longer fit on a single line, and takes
1104 up five to ten lines rather than one or two. at that point, the length
1105 of the code is adversely affected and thus so is readability by forcing
1106 readers to scroll through reams of pages.
1107
1108 It is a tricky balance: basically use your common sense, or just ask
1109 someone else, "can you understand this code?"
1110
1111 ### Reasons for code structure
1112
1113 Regarding code structure: we decided to go with small modules that are
1114 both easy to analyse, as well as fit onto a single page and be readable
1115 when displayed as a visual graph on a full UHD monitor. this is done
1116 as follows:
1117
1118 * using the capability of nmigen (TODO crossref to example) output the
1119 module to a yosys ilang (.il) file
1120 * in a separate terminal window, run yosys
1121 * at the yosys prompt type "read_ilang modulename.il"
1122 * type "show top" and a graphviz window should appear. note that typing
1123 show, then space, then pressing the tab key twice will give a full list
1124 of submodules (one of which will be "top")
1125
1126 You can now fullsize the graphviz window and scroll around. if it looks
1127 reasonably obvious at 100% zoom, i.e the connections can be clearly
1128 related in your mind back to the actual code (by matching the graph names
1129 against signals and modules in the original nmigen code) and the words are
1130 not tiny when zoomed out, and connections are not total incomprehensible
1131 spaghetti, then congratulations, you have well-designed code. If not,
1132 then this indicates a need to split the code further into submodules
1133 and do a bit more work.
1134
1135 The reasons for doing a proper modularisation job are several-fold:
1136
1137 * firstly, we will not be doing a full automated layout-and-hope
1138 using alliance/coriolis2, we will be doing leaf-node thru tree node
1139 half-automated half-manual layout, finally getting to the floorplan,
1140 then revising and iteratively adjusting.
1141 * secondly, examining modules at the gate level (or close to it) is just
1142 good practice. poor design creeps in by *not* knowing what the tools
1143 are actually doing (word to experienced developers: yes, we know that
1144 the yosys graph != final netlist).
1145 * thirdly, unit testing, particularly formal proofs, is far easier on
1146 small sections of code, and complete in a reasonable time.
1147
1148 ## Special warning / alert to vim users!
1149
1150 Some time around the beginning of 2019 some bright spark decided that
1151 an "auto-recommend-completion-of-stuff" option would be a nice, shiny
1152 idea to enable by default from that point onwards.
1153
1154 This incredibly annoying "feature" results in tabs (or spaces) being
1155 inserted "on your behalf" when you press return on one line, for your
1156 "convenience" of not needing to type lots of spaces/tabs just to get
1157 to the same indentation level.
1158
1159 Of course, this "feature", if you press return on one line in edit
1160 mode and then press "escape", leaves a bundle-of-joy extraneous
1161 whitespace **exactly** where you don't want it, and didn't ask for it,
1162 pooped all over your file.
1163
1164 Therefore, *please*: **before** running "git commit", get into the
1165 habit of always running "git diff", and at the very minimum
1166 speed-skim the entire diff, looking for tell-tale "red squares"
1167 (these show up under bash diff colour-syntax-highlighting) that
1168 inform you that, without your knowledge or consent, vim has
1169 "helpfully" inserted extraneous whitespace.
1170
1171 Remove them **before** git committing because they are not part
1172 of the actual desired code-modifications, and committing them
1173 is a major and constant distraction for reviewers about actual
1174 important things like "the code that actually *usefully* was
1175 modified for that commit"
1176
1177 This has the useful side-effect of ensuring that, right before
1178 the commit, you've got the actual diff right in front of you
1179 in the xterm window, on which you can base the "commit message".
1180
1181 ## Unit tests
1182
1183 For further reading, see the wikipedia page on
1184 [Test-driven Development](https://en.wikipedia.org/wiki/Test-driven_development)
1185
1186 This deserves its own special section. It is extremely important to
1187 appreciate that without unit tests, python projects are simply unviable.
1188 Python itself has over 25,000 individual tests.
1189
1190 This can be quite overwhelming to a beginner developer, especially one
1191 used to writing scripts of only 100 lines in length.
1192
1193 Thanks to Samuel Falvo we learned that writing unit tests as a formal
1194 proof is not only shorter, it's also far more readable and also, if
1195 written properly, provides 100% coverage of corner-cases that would
1196 otherwise be overlooked or require tens to hundreds of thousands of
1197 tests to be run.
1198
1199 No this is not a joke or even remotely hypothetical, this is an actual
1200 real-world problem.
1201
1202 The ieee754fpu requires several hundreds of thousands of tests to be
1203 run (currently needing several days to run them all), and even then we
1204 cannot be absolutely certain that all possible combinations of input have
1205 been tested. With 2^128 permutations to try with 2 64 bit FP numbers
1206 it is simply impossible to even try.
1207
1208 This is where formal proofs come into play.
1209
1210 Samuel illustrated to us that "ordinary" unit tests can then be written
1211 to *augment* the formal ones, serving the purpose of illustrating how
1212 to use the module, more than anything.
1213
1214 However it is appreciated that writing formal proofs is a bit of a
1215 black art. This is where team collaboration particularly kicks in,
1216 so if you need help, ask on the mailing list.
1217
1218 ## Don't comment out unit tests: add them first (as failures) and fix code later
1219
1220 Unit tests serve an additional critical purpose of keeping track of code
1221 that needs to be written. In many cases, you write the unit test *first*,
1222 despite knowing full well that the code doesn't even exist or is completely
1223 broken. The unit test then serves as a constant and important reminder
1224 to actually fix (or write) the code.
1225
1226 Therefore, *do not* comment out unit tests just because they "don't work".
1227 If you absolutely must stop a unit test from running, **do not delete it**.
1228 Simply mark it with an appropriate
1229 ["skip" decorator](https://docs.python.org/3/library/unittest.html#skipping-tests-and-expected-failures),
1230 preferably with a link to a URL in the [bugtracker](https://bugs.libre-soc.org/)
1231 with further details as to why the unit test should not be run.
1232
1233 ## Liskov Substitution Principle
1234
1235 * [Wikipedia entry](https://en.wikipedia.org/wiki/Liskov_substitution_principle)
1236 * [Stackoverflow answer on LSP](https://stackoverflow.com/questions/56860/what-is-an-example-of-the-liskov-substitution-principle)
1237 * [Article on LSP](https://reflectoring.io/lsp-explained/)
1238
1239 Copying from Wikipedia:
1240
1241 ```
1242 The Liskov substitution principle (LSP) is a particular definition of a
1243 subtyping relation, called strong behavioral subtyping, that was initially
1244 introduced by Barbara Liskov in a 1987 conference keynote address titled
1245 Data abstraction and hierarchy. It is based on the concept of
1246 "substitutability" - a principle in object-oriented programming stating
1247 that an object (such as a class) may be replaced by a sub-object (such as
1248 a class that extends the first class) without breaking the program.
1249 It is a semantic rather than merely syntactic relation, because it intends
1250 to guarantee semantic interoperability of types in a hierarchy, object
1251 types in particular.
1252 ```
1253
1254 To paraphrase: an *original object/class may be replaced with another object*
1255 (whose class extends the first class) *without breaking* the program.
1256
1257 Python is a programming language which makes using LSP pretty straightforward.
1258
1259 In LibreSOC, we aim to follow this principle whenever possible and (bearing
1260 time and budget constraints).
1261
1262 *(Luke, please include some examples from LibreSOC source here)*
1263
1264 ## Principle of Least Astonishment/Surprise (POLA)
1265
1266 * Example shown by Luke on
1267 [comment #33 bug #1039](https://bugs.libre-soc.org/show_bug.cgi?id=1039#c33)
1268 * [Wikipedia entry](https://en.wikipedia.org/wiki/Principle_of_least_astonishment)
1269 * Example answer on
1270 [software eng. stack exchange](https://softwareengineering.stackexchange.com/questions/187457/what-is-the-principle-of-least-astonishment)
1271
1272 Wikipedia mentions that the origin of the term "Principle of Least
1273 Astonishment" (or Surprise) comes from a PL/I programming language bulletin
1274 board from 1957:
1275
1276 ```
1277 For those parts of the system which cannot be adjusted to the peculiarities
1278 of the user, the designers of a systems programming language should obey
1279 the "Law of Least Astonishment." In short, this law states that every construct
1280 in the system should behave exactly as its syntax suggests. Widely accepted
1281 conventions should be followed whenever possible, and exceptions to previously
1282 established rules of the language should be minimal.
1283 ```
1284
1285 If a method name has a prefix `test_` it should be a unit test (or some other
1286 test which is there to check the functionality of a given feature).
1287
1288 A method/function (or attributes/variables, etc.) *shouldn't* be given a name
1289 which is wildly different from the what the user would expect it to do.
1290
1291 *Andrey*: One example which may meet this rule is `TestIssuer`,
1292 which is the FSM-based nMigen HDL CPU core used by LibreSOC (so far).
1293 It is so called because it is used to issue unit tests (while also
1294 being synthesisable). This name was however was initially confusing
1295 to me, because my background is in hardware not software engineering.
1296
1297
1298 # Task management guidelines
1299
1300 1. Create the task in appropriate "Product" section with appropriate
1301 "Component" section. Most code tasks generally use "Libre-SOC's
1302 first SOC".
1303 2. Fill in "Depends on" and "Blocks" section whenever appropriate.
1304 Also add as many related ("See Also") links to other bugreports
1305 as possible. bugreports are never isolated.
1306 3. Choose the correct task for a budget allocation. Usually the parent
1307 task is used.
1308 4. Choose the correct NLnet milestone. The best practice is to check
1309 the parent task for a correct milestone.
1310 5. Assign the budget to the task in `"USER=SUM"` form, where "USER"
1311 corresponds to your username and "SUM" corresponds to the actual
1312 budget in EUR. There may be multiple users.
1313 6. When the task is completed, you can begin writing an RFP.
1314 **DO NOT submit it without explicit authorisation and review**.
1315 Leave out your bank and personal address details if you prefer
1316 when sending to the Team Manager for review.
1317 7. Once the RFP is written, notify the Team Manager and obtain their
1318 explicit approval to send it.
1319 8. Once approval is received and the RFP sent, update the `"USER=SUM"`
1320 field to include the submitted date:
1321 `"USER={amount=SUM, submitted=SDATE}"`. The SDATE is entered in
1322 `YYYY-MM-DD` form.
1323 9. Once the task is paid, again notify the Team Manager (IRC is fine),
1324 and update `"USER={amount=SUM, submitted=SDATE}"`
1325 to `"USER={amount=SUM, submitted=SDATE, paid=PDATE}"`. The PDATE is
1326 entered in `YYYY-MM-DD` form, too.
1327
1328 Throughout all of this you should be using budget-sync to check the
1329 database consistency
1330 <https://git.libre-soc.org/?p=utils.git;a=blob;f=README.txt;hb=HEAD>
1331
1332 [[!img bugzilla_RFP_fields.jpg size=640x ]]
1333
1334 # TODO Tutorials
1335
1336 Find appropriate tutorials for nmigen and yosys, as well as symbiyosys.
1337
1338 * Robert Baruch's nmigen tutorials look really good:
1339 <https://github.com/RobertBaruch/nmigen-tutorial>
1340 * Although a verilog example this is very useful to do
1341 <https://symbiyosys.readthedocs.io/en/latest/quickstart.html#first-step-a-simple-bmc-example>
1342 * This tutorial looks pretty good and will get you started
1343 <https://web.archive.org/web/20210123052724/http://blog.lambdaconcept.com/doku.php?id=nmigen:nmigen_install>
1344 and walks not just through simulation, it takes you through using
1345 gtkwave as well.
1346 * There exist several nmigen examples which are also executable
1347 <https://gitlab.com/nmigen/nmigen/tree/master/examples/> exactly as
1348 described in the above tutorial (python3 filename.py -h)
1349 * More nmigen tutorials at [[learning_nmigen]]