HDL_workflow.mdwn: Added some more on linking bugs.
[libreriscv.git] / HDL_workflow.mdwn
1 [[!toc ]]
2
3 ---
4
5 # HDL workflow
6
7 This section describes the workflow and some best practices for developing
8 the Libre-SOC hardware. We use nmigen, yosys and symbiyosys, and this
9 page is intended not just to help you get set up, it is intended to
10 help advise you of some tricks and practices that will help you become
11 effective team contributors.
12
13 It is particularly important to bear in mind that we are not just
14 "developing code", here: we are creating a "lasting legacy educational
15 resource" for other people to learn from, and for businesses and students
16 alike to be able to use, learn from and augment for their own purposes.
17
18 It is also important to appreciate and respect that we are funded under
19 NLNet's Privacy and Enhanced Trust Programme <http://nlnet.nl/PET>. Full
20 transparency, readability, documentation, effective team communication
21 and formal mathematical proofs for all code at all levels is therefore
22 paramount.
23
24 Therefore, we need not only to be "self-sufficient" (absolutely
25 under no circumstances critically reliant on somebody else's servers
26 **or protocols**) we also need to ensure that everything (including
27 all communication such as the mailing list archives) are recorded,
28 replicable, and accessible in perpetuity. Use of slack or a "forum"
29 either actively prevents or makes that much harder.
30
31 # Collaboration resources
32
33 The main message here: **use the right tool for the right job**.
34
35 * mailing list: general communication and discussion.
36 * irc channel #libre-soc on irc.libera.chat: real(ish)-time communication.
37 * bugtracker: task-orientated, goal-orientated *focussed* discussion.
38 * ikiwiki: document store, information store, and (editable) main website
39 * git repositories: code stores (**not binary or auto-generated output store**)
40 * ftp server (<https://ftp.libre-soc.org/>): large (temporary,
41 auto-generated) file store.
42
43 Note also the lack of a "forum" in the above list. this is very
44 deliberate. forums are a serious distraction when it comes to technical
45 heavily goal-orientated development. recent internet users may enjoy
46 looking up the "AOL metoo postings" meme.
47
48 Note also the complete lack of "social platforms". if we wanted to tell
49 everybody how much better each of us are than anyone else in the team,
50 how many times we made a commit (look at me, look at me, i'm so clever),
51 and how many times we went to the bathroom, we would have installed a
52 social media based project "management" system.
53
54 ## Main contact method: mailing list
55
56 To respect the transparency requirements, conversations need to be
57 public and archived (i.e not skype, not telegram, not discord,
58 and anyone seriously suggesting slack will be thrown to the
59 lions). Therefore we have a mailing list. Everything goes through
60 there. <https://lists.libre-soc.org/mailman/listinfo/libre-soc-dev>
61 therefore please do google "mailing list etiquette" and at the very
62 minimum look up and understand the following:
63
64 * This is a technical mailing list with complex topics. Top posting
65 is completely inappropriate. Don't do it unless you have mitigating
66 circumstances, and even then please apologise and explain ("hello sorry
67 using phone at airport flight soon, v. quick reply: ....")
68 * Always trim context but do not cut excessively to the point where people
69 cannot follow the discussion. Especially do not cut the attribution
70 ("On monday xxx wrote") of something that you are actually replying
71 to.
72 * Use inline replies i.e. reply at the point in the relevant part of
73 the conversation, as if you were actually having a conversation.
74 * Follow standard IETF reply formatting, using ">" for cascaded
75 indentation of other people's replies. If using gmail, please: SWITCH
76 OFF RICH TEXT EDITING.
77 * Please for god's sake do not use "my replies are in a different
78 colour". Only old and highly regarded people still using AOL are allowed
79 to get away with that (such as Mitch).
80 * Start a new topic with a relevant subject line. If an existing
81 discussion changes direction, change the subject line to reflect the
82 new topic (or start a new conversation entirely, without using the
83 "reply" button)
84 * DMARC is a pain on the neck. Try to avoid GPG signed messages. sigh.
85 * Don't send massive attachments. Put them online (no, not on facebook or
86 google drive or anywhere else that demands privacy violations) and provide
87 the link. Which should not require any kind of login to access. ask the
88 listadmin if you don't have anywhere suitable: FTP access can be arranged.
89
90 ### Actionable items from mailing list
91
92 If discussions result in any actionable items, it is important not to
93 lose track of them. Create a bugreport, find the discussion in the
94 archives <https://lists.libre-soc.org/pipermail/libre-soc-dev/>,
95 and put the link actually in the bugtracker as one of the comments.
96
97 At some point in any discussion, the sudden realisation may dawn on one
98 or more people that this is an "actionable" discussion. at that point
99 it may become better to use <https://bugs.libre-soc.org/>
100 itself to continue the discussion rather than to keep on dropping copies
101 of links into the bugtracker. The bugtracker sends copies of comments
102 *to* the list however this is 'one-way' (note from lkcl: because this
103 involves running an automated perl script from email, on every email,
104 on the server, that is a high security risk, and i'm not doing it. sorry.)
105
106 ### Mailing list != editable document store
107
108 Also, please do not use the mailing list as an "information or document
109 store or poor-man's editor" **including not sending large images**.
110 We have the wiki for that. Edit a page and
111 tell people what you did (summarise rather than drop the entire contents
112 at the list) and include the link to the page.
113
114 Or, if it is more appropriate, commit a document (or source code)
115 into the relevant git repository then look up the link in the gitweb
116 source tree browser and post that (in the bugtracker or mailing list)
117 See <https://git.libre-soc.org/>
118
119 ### gmail "spam"ifying the list
120
121 See <https://blog.kittycooper.com/2014/05/keeping-my-mailing-list-emails-out-of-gmails-spam-folder/>
122
123 Basically it is possible to select any message from the list, create a
124 "filter" (under "More"), and, on the 2nd dialog box, click the "never
125 send this to Spam" option.
126
127 ## Bugtracker
128
129 bugzilla. old and highly effective. sign up in the usual way. any
130 problems, ask on the list.
131
132 Please do not ask for the project to be transferred to github or other
133 proprietary nonfree service "because it's soooo convenient", as the
134 lions are getting wind and gout from overfeeding on that one.
135
136 one.
137
138 ### Why raise issues
139
140 * [Bug #1126](https://bugs.libre-soc.org/show_bug.cgi?id=1126)
141
142 If you have discovered a problem in Libre-SOC (software, hardware, etc.),
143 please raise a bug report!
144 Bug reports allow tracking of issues, both to make the developers lives easier,
145 as well as for tracking completed grant-funded work.
146
147 ####How to raise issues
148
149 1. Create a bug report.
150 2. Add in any links from the mailing list or IRC logs to the bug report for back tracking
151 (this is mandatory). Also fill in the URL field if there is a relevant wiki page.
152 3. CC in relevant team members
153 4. make absolutely sure to fill in "blocks", "depends on" or "see also" so that the
154 bug is not isolated (otherwise bugs are too hard to find if isolated from everything else)
155 5. Ping on IRC to say a bug has been created
156 6. Unless you know exactly which milestone to use, leave blank initially. This
157 also applies when editing milestone, budget parent/child, toml fields. See
158 section [[HDL_workflow#Task management guidelines]] further down.
159 7. After setting the milestone, it is **absolutely required** to run
160 [budget-sync](https://git.libre-soc.org/?p=utils.git;a=blob;f=README.txt;hb=HEAD),
161 as it will point out any discrepancies. The budget allocations will be used for
162 accounting purposes and **MUST** be correct. *Note you can only get paid for
163 stuff done **after the nlnet grant is approved** (before the MOU is signed)*
164
165 It is **extremely** important to link the new bug to previous ones. As Luke
166 mentioned on [this bug](https://bugs.libre-soc.org/show_bug.cgi?id=1139#c3),
167 "it is a mandatory project requirement that the graph from any bug
168 contain all other bugs (one "Group")".
169
170 The primary reason for this is to ensure bugs don't get buried and lost,
171 and will aid those tackling similar problems at a later time.
172
173 ## ikiwiki
174
175 Runs the main libre-soc.org site (including this page). effective,
176 stunningly light on resources, and uses a git repository not a database.
177 That means it can be edited offline.
178
179 Usual deal: register an account and you can start editing and contributing
180 straight away.
181
182 Hint: to create a new page, find a suitable page that would link to it,
183 first, then put the link in of the page you want to create, as if the
184 page already exists. Save that page, and you will find a question mark
185 next to the new link you created. click that link, and it will fire up a
186 "create new page" editor.
187
188 Wiki pages are formatted in [[markdown|ikiwiki/markdown]] syntax.
189
190 Hint again: the wiki is backed by a git repository. Don't go overboard
191 but at the same time do not be afraid that you might "damage" or "lose"
192 pages. Although it would be a minor pain, the pages can always be
193 reverted or edited by the sysadmins to restore things if you get in a tiz.
194
195 Assistance in creating a much better theme greatly appreciated. e.g.
196 <http://www.math.cmu.edu/~gautam/sj/blog/20140720-ikiwiki-navbar.html>
197
198 ## git
199
200 We use git. More on this below. We also use
201 [gitolite3](https://gitolite.com/gitolite/) running on a dedicated server.
202 again, it is extremely effective and low resource utilisation. Reminder:
203 lions are involved if github is mentioned.
204
205 [gitweb](https://git.wiki.kernel.org/index.php/Gitweb) is provided which
206 does a decent job. <https://git.libre-soc.org/>
207
208 [Git](https://en.wikipedia.org/wiki/Git) does version control, ie it
209 tracks changes to files so that previous versions can be got back or
210 compared.
211
212 Checklist page [[HDL_workflow/git_checklist]]
213
214 ## ftp server
215
216 <https://ftp.libre-soc.org/> is available for storing large files
217 that do not belong in a git repository, if we have (or ever need)
218 any. Images (etc.) if small and appropriate should go into the
219 wiki, however .tgz archives (etc.) and, at some point, binaries,
220 should be on the ftp server.
221
222 Ask on the list if you have a file that belongs on the ftp server.
223
224 ## server
225
226 As an aside: all this is "old school" and run on a single core 512MB
227 VM with only a 20GB HDD allocation. it costs only 8 GBP per month from
228 mythic-beasts and means that the project is in no way dependent on anyone
229 else - not microsoft, not google, not facebook, not amazon.
230
231 We tried [gitlab](https://about.gitlab.com/). it didn't go well. please
232 don't ask to replace the above extremely resource-efficient services
233 with it.
234
235 # Hardware
236
237 RAM is the biggest requirement. Minimum 16GB, the more the better (32
238 or 64GB starts to reach "acceptable" levels. Disk space is not hugely
239 critical: 256GB SSD should be more than adequate. Simulations and
240 FPGA compilations however are where raw processing power is a must.
241 High end Graphics Cards are nonessential.
242
243 What is particularly useful is to have hi-res screens (curved is
244 *strongly* recommended if the LCD is over 24in wide, to avoid eyeballs
245 going "prism" through long term use), and to have several of them: the
246 more the better. Either a DisplayLink UD160A (or more modern variant)
247 or simply using a second machine (lower spec hardware because it will
248 run editors) is really effective.
249
250 Also it is really recommended to have a UHD monitor (4k - 3840x2160),
251 or at least 2560x1200. If given a choice, 4:3 aspect ratio is better
252 than 16:9 particularly when using several of them. However, caveat
253 (details below): please when editing do not assume that everyone will
254 have access to such high resolution screens.
255
256 # Operating System
257
258 First install and become familiar with
259 [Debian](https://www.debian.org/) ([Ubuntu](https://ubuntu.com/)
260 if you absolutely
261 must) for standardisation cross-team and so that toolchain installation
262 is greatly simplified. yosys in particular warns that trying to use
263 Windows, BSD or MacOS will get you into a world of pain.
264
265 Only a basic GUI desktop is necessary: fvwm2, xfce4, lxde are perfectly
266 sufficient (alongside wicd-gtk for network management). Other more
267 complex desktops can be used however may consume greater resources.
268
269 # editors and editing
270
271 Whilst this is often a personal choice, the fact that many editors are
272 GUI based and run full-screen with the entire right hand side *and* middle
273 *and* the majority of the left side of the hi-res screen entirely unused
274 and bereft of text leaves experienced developers both amused and puzzled.
275
276 At the point where such full-screen users commit code with line lengths
277 well over 160 characters, that amusement quickly evaporates.
278
279 Where the problems occur with full-screen editor usage is when a project
280 is split into dozens if not hundreds of small files (as this one is). At
281 that point it becomes pretty much essential to have as many as six to
282 eight files open *and on-screen* at once, without overlaps i.e. not in
283 hidden tabs, next to at least two if not three additional free and clear
284 terminals into which commands are regularly and routinely typed (make,
285 git commit, nosetests3 etc). Illustrated with the following 3840x2160
286 screenshot (click to view full image), where *every one* of those 80x70
287 xterm windows is *relevant to the task at hand*.
288
289 [[!img 2020-01-24_11-56.png size=640x ]]
290
291 (hint/tip: fvwm2 set up with "mouse-over to raise focus, rather than
292 additionally requiring a mouse click, can save a huge amount of cumulative
293 development time here, switching between editor terminal(s) and the
294 command terminals).
295
296 Once this becomes necessary, it it turn implies that having greater
297 than 80 chars per line - and running editors full-screen - is a severe
298 hinderance to an essential *and highly effective* workflow technique.
299
300 Additionally, care should be taken to respect that not everyone will have
301 200+ column editor windows and the eyesight of a hawk. They may only have
302 a 1280 x 800 laptop which barely fits two 80x53 xterms side by side.
303 Consequently, having excessively long functions is also a hindrance to
304 others, as such developers with limited screen resources would need to
305 continuously page-up and page-down to read the code even of a single
306 function, in full.
307
308 This helps explain in part, below, why compliance with
309 [pep8](https://pep8.org/) is enforced, including its 80 character limit.
310 In short: not everyone has the same "modern" GUI workflow or has access
311 to the same computing resources as you, so please do respect that.
312
313 More on this concept is
314 [here](https://www.linuxjournal.com/content/line-length-limits).
315 Note *very pointedly* that Linus Torvalds *specifically* states that
316 he does not want Linux kernel development to become the exclusive
317 domain of the "wealthy". That means **no** to assumptions about
318 access to ultra-high resolution screens.
319
320 # Software prerequisites<a name="software-prerequisites"></a>
321
322 **Please make sure if you install manually that you install dependencies
323 in strict order. Failing to adhere to this will result in pip3 downloading
324 unauthorised older software versions. See
325 <http://lists.libre-soc.org/pipermail/libre-soc-dev/2021-September/003666.html>**
326
327 Whilst many resources online advocate "`sudo`" in front of all root-level
328 commands below, this quickly becomes tiresome. run "`sudo bash`", get a
329 root prompt, and save yourself some typing.
330
331 * sudo bash
332 * apt-get install vim exuberant-ctags
333 * apt-get install build-essential
334 * apt-get install git python3.7 python3.7-dev python3-nose
335 * apt-get install graphviz xdot gtkwave
336 * apt-get install python3-venv
337 * apt-get install python-virtualenv # this is an alternative to python3-venv
338 * apt-get install tcl-dev libreadline-dev bison flex libffi-dev iverilog
339 * return to user prompt (ctrl-d)
340
341 (The above assumes that you are running Debian.)
342
343 This will get you python3 and other tools that are
344 needed. [graphviz](https://graphviz.org/) is essential
345 for showing the interconnections between cells, and
346 [gtkwave](http://gtkwave.sourceforge.net/) is essential for debugging.
347
348 If you would like to save yourself a lot more typing, check out the
349 [dev-env-setup](https://git.libre-soc.org/?p=dev-env-setup.git;a=summary)
350 repository, examine the scripts there and use them to automate much of
351 the process below.
352
353 If you would like just to install only the apt dependencies use
354 [install-hdl-apt-reqs](https://git.libre-soc.org/?p=dev-env-setup.git;a=blob;f=install-hdl-apt-reqs;hb=HEAD) instead.
355
356 This page gives more details and a step by step process : [[HDL_workflow/devscripts]]
357
358 ## git
359
360 Look up good tutorials on how to use git effectively. There are so many
361 it is hard to recommend one. This is however essential. If you are not
362 comfortable with git, and you let things stay that way, it will seriously
363 impede development progress.
364
365 If working all day you should expect to be making at least two commits per
366 hour, so should become familiar with it very quickly. If you are *not*
367 doing around 2 commits per hour, something is wrong and you should read
368 the workflow instructions below more carefully, and also ask for advice
369 on the mailing list.
370
371 Worth noting: *this project does not use branches*. All code is committed
372 to master and we *require* that it be either zero-impact additions or that
373 relevant unit tests pass 100%. This ensures that people's work does not
374 get "lost" or isolated and out of touch due to major branch diversion,
375 and that people communicate and coordinate with each other.
376
377 This is not a hard rule: under special cirmstances branches can be useful.
378 They should not however be considered "routine".
379
380 For advice on commit messages see
381 [here](https://tbaggery.com/2008/04/19/a-note-about-git-commit-messages.html),
382 and [here](https://github.com/torvalds/subsurface-for-dirk/blob/master/README.md#contributing)).
383
384 ## yosys
385
386 Follow the source code (git clone) instructions here, do **not** use
387 the "stable" version (do not download the tarball):
388 <https://github.com/YosysHQ/yosys>
389
390 Or, alternatively, use the
391 [hdl-tools-yosys](https://git.libre-soc.org/?p=dev-env-setup.git;a=blob;f=hdl-tools-yosys;hb=HEAD)
392 script (which also installs symbiyosys and its dependencies)
393
394 Do not try to use a fixed revision of yosys (currently 0.9), nmigen is
395 evolving and frequently interacts with yosys.
396
397 [Yosys](https://github.com/YosysHQ/yosys is a framework for Verilog RTL.
398 [Verilog](https://en.wikipedia.org/wiki/Verilog) is a hardware description
399 language.
400 RTL [Register Transfer
401 Level](https://en.wikipedia.org/wiki/Register-transfer_level)
402 models how data moves between
403 [registers](https://en.wikipedia.org/wiki/Hardware_register).
404
405 ## symbiyosys
406
407 To install follow the [instructions
408 here](https://symbiyosys.readthedocs.io/en/latest/install.html)
409 Once done look at [A simple BMC
410 example](https://symbiyosys.readthedocs.io/en/latest/quickstart.html)
411
412 You do not have to install all of those (avy, boolector can be left
413 out if desired) however the more that are installed the more effective
414 the formal proof scripts will be (less resource utilisation in certain
415 circumstances).
416
417 [SymbiYosys](https://symbiyosys.readthedocs.io/en/latest/) (sby) is a
418 front-end driver program for Yosys-based formal hardware verification
419 flows.
420
421 ## nmigen (TM)
422
423 *nmigen is a registered trademark of M-Labs <https://uspto.report/TM/88980893>*
424
425 **PLEASE NOTE: it is critical to install nmigen as the first dependency
426 prior to installing any further python-based Libre-SOC HDL repositories.
427 If "pip3 list" shows that nmigen has been auto-installed please remove it**
428
429 [nmigen](https://m-labs.hk/gateware/nmigen/) may be installed as follows:
430
431 * mkdir ~/src
432 * cd !$
433 * git clone https://gitlab.com/nmigen/nmigen.git
434 * cd nmigen
435 * sudo bash
436 * python3 setup.py develop
437 * ctrl-d
438
439 Testing can then be carried out with "python3 setup.py test"
440
441 nmigen is a Python toolbox for building complex digital hardware.
442
443 ## Softfloat and sfpy
444
445 These are a test suite dependency for the
446 [ieee754fpu](https://www.gaisler.com/index.php/products/ipcores/ieee754fpu)
447 library, and will be changed in the future to use Jacob's
448 [simple-soft-float](https://crates.io/crates/simple-soft-float) library.
449 In the meantime, sfpy can be built as follows:
450
451 git clone --recursive https://github.com/billzorn/sfpy.git
452 cd sfpy
453 cd SoftPosit
454 git apply ../softposit_sfpy_build.patch
455 git apply /path/to/ieee754fpu/SoftPosit.patch
456 cd ../berkely-softfloat-3
457 # Note: Do not apply the patch included in sfpy for berkely-softfloat,
458 # it contains the same changes as this one
459 git apply /path/to/ieee754fpu/berkeley-softfloat.patch
460 cd ..
461
462 # prepare a virtual environment for building
463 python3 -m venv .env
464
465 # or, if you prefer the old way:
466 # virtualenv -p python3 .env
467
468 # install dependencies
469 source .env/bin/activate
470 pip3 install --upgrade -r requirements.txt
471
472 # build
473 make lib -j$(nproc)
474 make cython
475 make inplace -j$(nproc)
476 make wheel
477
478 # install
479 deactivate # deactivates venv, optional
480 pip3 install dist/sfpy*.whl
481
482 You can test your installation by doing the following:
483
484 python3
485 >>> from sfpy import Posit8
486 >>> Posit8(1.3)
487
488 It should print out `Posit8(1.3125)`
489
490 ## qemu, cross-compilers, gdb
491
492 As we are doing POWER ISA, POWER ISA compilers, toolchains and
493 emulators are required.
494 Again, if you want to save yourself some typing, use the dev scripts.
495 [install-hdl-apt-reqs](https://git.libre-soc.org/?p=dev-env-setup.git;a=blob;f=install-hdl-apt-reqs;hb=HEAD)
496 script will install the qemu;
497 [ppc64-gdb-gcc](https://git.libre-soc.org/?p=dev-env-setup.git;a=blob;f=ppc64-gdb-gcc;hb=HEAD)
498 script will install the toolchain and the corresponding debugger.
499 The steps are provided below only for reference; when in doubt,
500 consider checking and running the scripts.
501
502 Install powerpc64 gcc:
503
504 apt-get install gcc-8-powerpc64-linux-gnu
505
506 Install qemu:
507
508 apt-get install qemu-system-ppc
509
510 Install gdb from source. Obtain the required tarball matching
511 the version of gcc (8.3) from here <https://ftp.gnu.org/gnu/gdb/>,
512 unpack it, then:
513
514 cd gdb-8.3 (or other location)
515 mkdir build
516 cd build
517 ../configure --srcdir=.. --host=x86_64-linux --target=powerpc64-linux-gnu
518 make -j$(nproc)
519 make install
520
521 [gdb](https://en.wikipedia.org/wiki/GNU_Debugger) lets you debug running
522 programs. [qemu](https://www.qemu.org/) emulates processors, you can
523 run programs under qemu.
524
525 ## power-instruction-analyzer (pia)
526
527 We have a custom tool built in Rust by programmerjake to help analyze
528 the OpenPower instructions' execution on *actual* hardware.
529
530 Install Rust:
531
532 curl --proto '=https' --tlsv1.2 -sSf https://sh.rustup.rs | sh
533
534 Make sure we have the correct and up-to-date rust compiler (rustc & cargo):
535
536 rustup default stable
537 rustup update
538
539 Install the Python extension from git source by doing the following:
540
541 git clone https://salsa.debian.org/Kazan-team/power-instruction-analyzer.git pia
542 cd pia
543 ./libre-soc-install.sh
544
545 ## Chips4Makers JTAG
546
547 As this is an actual ASIC, we do not rely on an FPGA's JTAG TAP
548 interface, instead require a full complete independent implementation
549 of JTAG. Staf Verhaegen has one, with a full test suite, and it is
550 superb and well-written. The Libre-SOC version includes DMI (Debug
551 Memory Interface):
552
553 git clone https://git.libre-soc.org/git/c4m-jtag.git/
554 cd c4m-jtag
555 python3 setup.py develop
556
557 Included is an IDCODE tap point, Wishbone Master (for direct memory read
558 and write, fully independent of the core), IOPad redirection and testing,
559 and general purpose shift register capability for any custom use.
560
561 We added a DMI to JTAG bridge in LibreSOC which is
562 directly connected to the core, to access registers and
563 to be able to start and stop the core and change the PC.
564 In combination with the JTAG Wishbone interface the test
565 [ASIC](https://en.wikipedia.org/wiki/Application-specific_integrated_circuit)
566 can have a bootloader uploaded directly into onboard
567 [SRAM](https://en.wikipedia.org/wiki/Static_random-access_memory) and
568 execution begun.
569
570 [Chips4Makers](https://chips4makers.io/) make it possible for makers
571 and hobbyists to make their own open source chips.
572
573 [JTAG](https://en.wikipedia.org/wiki/JTAG) (Joint Test Action Group) is
574 an industry standard for verifying designs and testing printed circuit
575 boards after manufacture.
576
577 The [Wishbone
578 bus](https://en.wikipedia.org/wiki/Wishbone_%28computer_bus%29) is an open
579 source hardware computer bus intended to let the parts of an integrated
580 circuit communicate with each other.
581
582 ## Coriolis2
583 See [[HDL_workflow/coriolis2]] page, for those people doing layout work.
584
585 ## Nextpnr
586
587 A portable FPGA place and route tool.
588
589 See [[HDL_workflow/nextpnr]] page for installation instructions of nextpnr with ECP5 support for Lattice FPGA ECP5 series. Also see
590 [[HDL_workflow/ECP5_FPGA]] for connecting up to JTAG with a ULX3S
591 and the Lattice VERSA_ECP5.
592
593 ## Nextpnr-xilinx
594
595 An open source place and route framework for Xilinx FPGAs using Project Xray. We will use it for Xilinx 7-series FPGAs like Artix-7.
596
597 One of the ways to get Arty A7 100t Digilent FPGA board working.
598
599 See [[HDL_workflow/nextpnr-xilinx]] for installation instructions and dependencies.
600
601
602 ## Verilator
603
604 The fastest Verilog and SystemVerilog simulator. It compiles Verilog to C++ or SystemC.
605
606 Advise use only v4.106 at the moment.
607
608 See [[HDL_workflow/verilator]] page for installation instructions.
609
610 ## GHDL
611
612 GHDL is a shorthand for G Hardware Design Language. It is a VHDL analyzer, compiler, simulator and (experimental) synthesizer that can process (nearly) any VHDL design.
613
614 VHDL is an acronym for Very High Speed Integrated Circuit (VHSIC) Hardware Description Language (HDL), which is a programming language used to describe a logic circuit by function, data flow behavior, or structure.
615
616 Unlike some other simulators, GHDL is a compiler: it directly translates a VHDL file to machine code, without using an intermediary language such as C or C++. Therefore, the compiled code should be faster and the analysis time should be shorter than with a compiler using an intermediary language.
617
618 GHDL aims at implementing VHDL as defined by IEEE 1076. It supports the 1987, 1993 and 2002 revisions and, partially, 2008. PSL is also partially supported.
619
620 See [[HDL_workflow/ghdl]] page for installation instructions.
621
622 ## Icarus Verilog
623
624 Icarus Verilog is a Verilog simulation and synthesis tool. It operates as a compiler, compiling source code written in Verilog (IEEE-1364) into some target format.
625
626 See [[HDL_workflow/iverilog]] page for installation instructions.
627
628 ## Cocotb
629
630 cocotb is a COroutine based COsimulation TestBench environment for verifying VHDL and SystemVerilog RTL using Python.
631
632 See [[HDL_workflow/cocotb]] page for installation instructions.
633
634 ## Symbiflow
635
636 A fully open source toolchain for the development of FPGAs. Currently it targets Xilinx 7-series, Lattice iCE40 and ECP5, Quicklogic EOS S3.
637
638 One way to get the Arty A7 100t Digilent FPGA board working.
639
640 See [[HDL_workflow/symbiflow]] for installation instructions
641 and dependencies.
642
643 ## FPGA/Board Boot-Loaders-Programmers
644
645 Open source FPGA/Board boot-loaders and programmers for ULX3S, ECP5 and
646 OrangeCrab.
647
648 Currently these programs dfu-util, openFPGALoader, ujprog, fujprog,
649 xc3sprog and ecpprog are going to be used.
650
651 See [[HDL_workflow/fpga-boot-loaders-progs]] for installation instructions and dependencies.
652
653 ## ls2 peripheral fabric
654
655 [[HDL_workflow/ls2]]
656
657 # Registering for git repository access<a name="gitolite3_access"></a>
658
659 After going through the onboarding process and having agreed to take
660 responsibility for certain tasks, ask on the mailing list for git
661 repository access, sending in a public key (`id_rsa.pub`). If you do
662 not have one then generate it with `ssh-keygen -t rsa`. You will find it
663 in `~/.ssh`
664
665 NEVER SEND ANYONE THE PRIVATE KEY. By contrast the public key, on
666 account of being public, is perfectly fine to make... err... public.
667
668 Create a file `~/.ssh/config` with the following lines:
669
670 Host git.libre-soc.org
671 Port 922
672
673 Test that you have access with this command:
674
675 ssh -v -p922 gitolite3@git.libre-soc.org
676
677 Please note: **DO NOT TYPE A PASSWORD** - the server gets hit by a lot of
678 port-scanning, and detection of password failures are used to instantly
679 ban IP addresses.
680
681 Wait for the Project Admin to confirm that the ssh key has been added
682 to the required repositories. Once confirmed, you can clone any of the
683 repos at https://git.libre-soc.org/:
684
685 git clone gitolite3@git.libre-soc.org:REPONAME.git
686
687 Alternatively, the .ssh/config can be skipped and this used:
688
689 git clone ssh://gitolite3@git.libre-soc.org:922/REPONAME.git
690
691 Note: **DO NOT ATTEMPT TO LOG IN TO THE SERVER WITH A PERSONAL ACCOUNT**.
692 fail2ban is running and, due to repeated persistent port-scanning spammers
693 is set up to instantly ban any unauthorised ssh access for up to two weeks.
694 This keeps log file sizes down on the server (which is resource-constrained).
695 If you are wondering why this is done, it's a *lot* of port-scans.
696
697 Therefore, *only* ssh in to server with the gitolite3 account, *only*
698 on port 922, and *only* once the systems administrator has given you
699 the all-clear that the ssh key has been added.
700
701 # git configuration
702
703 Although there are methods online which describe how (and why) these
704 settings are normally done, honestly it is simpler and easier to open
705 ~/.gitconfig and add them by hand.
706
707 core.autocrlf is a good idea to ensure that anyone adding DOS-formatted
708 files they don't become a pain. pull.rebase is something that is greatly
709 preferred for this project because it avoids the mess of "multiple
710 extra merge git tree entries", and branch.autosetuprebase=always will,
711 if you want it, always ensure that a new git checkout is set up with rebase.
712
713 [core]
714 autocrlf = input
715 [push]
716 default = simple
717 [pull]
718 rebase = true
719 [branch]
720 autosetuprebase = always
721
722 # Checking out the HDL repositories
723
724 Before running the following, install the
725 dependencies. This is easiest done with this script
726 <https://git.libre-soc.org/?p=dev-env-setup.git;a=blob;f=install-hdl-apt-reqs;hb=HEAD>
727
728 **It is critically important to install these in STRICT order, otherwise
729 pip3 interferes and performs unauthorised downloads without informing
730 you of what it is doing**.
731
732 * mkdir ~/src
733 * cd !$
734 * git clone https://gitlab.com/nmigen/nmigen
735 * git clone https://gitlab.com/nmigen/nmigen-boards
736 * git clone https://gitlab.com/nmigen/nmigen-soc
737 * git clone https://gitlab.com/nmigen/nmigen-stdio
738 * git clone gitolite3@git.libre-soc.org:c4m-jtag.git
739 * git clone gitolite3@git.libre-soc.org:nmutil.git
740 * git clone gitolite3@git.libre-soc.org:openpower-isa.git
741 * git clone gitolite3@git.libre-soc.org:ieee754fpu.git
742 * git clone gitolite3@git.libre-soc.org:soc.git
743
744 In each of these directories, **in the order listed**, track down the
745 `setup.py` file, then, as root (`sudo bash`), run the following:
746
747 * python3 setup.py develop
748
749 The reason for using "develop" mode is that the code may be edited
750 in-place yet still imported "globally". There are variants on this theme
751 for multi-user machine use however it is often just easier to get your
752 own machine these days.
753
754 The reason for the order is because soc depends on ieee754fpu, and
755 ieee754fpu depends on nmutil. If you do not follow the listed order
756 pip3 will go off and download an arbitrary version without your
757 consent.
758
759 If "`python3 setup.py install`" is used it is a pain: edit, then
760 install. edit, then install. It gets extremely tedious, hence why
761 "develop" was created.
762
763 If you prefer you can use this script instead: of course you checked it
764 in advance and accept full responsibility.
765 <https://git.libre-soc.org/?p=dev-env-setup.git;a=blob;f=hdl-dev-repos;hb=HEAD>
766
767 # Development Rules
768
769 Team communication:
770
771 * new members, add yourself to the [[about_us]] page and create yourself
772 a home page using someone else's page as a template.
773 * communicate on the mailing list or the bugtracker an intent to take
774 responsibility for a particular task.
775 * assign yourself as the bug's owner
776 * *keep in touch* about what you are doing, and why you are doing it.
777 * edit your home page regularly, particularly to track tasks so that
778 they can be paid by NLNet.
779 * if you cannot do something that you have taken responsibility for,
780 then unless it is a dire personal emergency please say so, on-list. we
781 won't mind. we'll help sort it out.
782
783 Regarding the above it is important that you read, understand, and agree
784 to the [[charter]] because the charter is about ensuring that we operate
785 as an effective organisation. It's *not* about "setting rules and meting
786 out punishment".
787
788 ## Coding
789
790 for actual code development
791
792 ### Plan unit tests
793
794 * plan in advance to write not just code but a full test suite for
795 that code. **this is not optional**. large python projects that do not
796 have unit tests **FAIL** (see separate section below).
797 * Prioritise writing formal proofs and a single clear unit test that is more
798 like a "worked example".
799 We receive NLNet funds for writing formal proofs, plus they
800 cover corner cases and take far less time to write
801
802 ### Commit tested or zero-dependent code
803
804 * only commit code that has been tested (or is presently unused). other
805 people will be depending on you, so do take care not to screw up.
806 not least because, as it says in the [[charter]] it will be your
807 responsibility to fix. that said, do not feel intimidated: ask for help
808 and advice, and you'll get it straight away.
809
810 ### Commit often
811
812 * commit often. several times a day, and "git push" it. this is
813 collaboration. if something is left even overnight uncommitted and not
814 pushed so that other people can see it, it is a red flag.
815 * if you find
816 yourself thinking "i'll commit it when it's finished" or "i don't want to
817 commit something that people might criticise" *this is not collaboration*,
818 it is making yourself a bottleneck. pair-programming is supposed to help
819 avoid this kind of thing however pair-programming is difficult to organise
820 for remote collaborative libre projects (suggestions welcomed here)
821
822 ### Enable editor auto-detection of file changes by external programs
823
824 This is important. "`git pull`" will merge in changes. If you then
825 arbitrarily save a file without re-loading it, you risk destroying
826 other people's work.
827
828 You can avoid damaging the repositories by following some simple procedures:
829
830 run appropriate unit tests
831 git pull
832 run appropriate unit tests again (checks other people's work)
833 git diff # and actually read and review the output
834 git status # check for any missing files
835 git commit # with appropriate arguments and message
836 git push # always always always do this
837
838 ### Absolutely no auto-generated output
839
840 * **do not commit autogenerated output**. write a shell script and commit
841 that, or add a `Makefile` to run the command that generates the output, but
842 **do not** add the actual output of **any** command to the repository.
843 ever. this is really important. even if it is a human-readable file
844 rather than a binary object file.
845 * it is very common to add PDFs (the result of running `latex2pdf`) or
846 configure.in (the result of running `automake`), they are an absolute
847 nuisance and interfere hugely with git diffs, as well as waste hard
848 disk space *and* network bandwidth. don't do it.
849 * do not add multi-megabyte or multi-gigabyte "test data".
850 use shell scripts and commit that, which automatically downloads the
851 "test data" from a well-known known-good reliable location instead.
852
853 ### Write commands that do tasks and commit those
854
855 * if the command needed to create any given autogenerated output is not
856 currently in the list of known project dependencies, first consult on
857 the list if it is okay to make that command become a hard dependency of
858 the project (hint: java, node.js php and .NET commands may cause delays
859 in response time due to other list participants laughing hysterically),
860 and after a decision is made, document the dependency and how its source
861 code is obtained and built (hence why it has to be discussed carefully)
862 * if you find yourself repeating commands regularly, chances are high
863 that someone else will need to run them, too. clearly this includes
864 yourself, therefore, to make everyone's lives easier including your own,
865 put them into a `.sh` shell script (and/or a `Makefile`), commit them to
866 the repository and document them at the very minimum in the README,
867 INSTALL.txt or somewhere in a docs folder as appropriate. if unsure,
868 ask on the mailing list for advice.
869
870 ### Keep commits single-purpose
871
872 * edit files making minimal *single purpose* modifications (even if
873 it involves multiple files. Good extreme example: globally changing
874 a function name across an entire codebase is one purpose, one commit,
875 yet hundreds of files. miss out one of those files, requiring multiple
876 commits, and it actually becomes a nuisance).
877
878 ### Run unit tests prior to commits
879
880 * prior to committing make sure that relevant unit tests pass, or that
881 the change is a zero-impact addition (no unit tests fail at the minimum)
882
883 ### Do not break existing code
884
885 * keep working code working **at all times**. find ways to ensure that
886 this is the case. examples include writing alternative classes that
887 replace existing functionality and adding runtime options to select
888 between old and new code.
889
890 ### Small commits with relevant commit message
891
892 * commit no more than around 5 to 10 lines at a time, with a CLEAR message
893 (no "added this" or "changed that").
894 * if as you write you find that the commit message involves a *list* of
895 changes or the word "and", then STOP. do not proceed: it is a "red flag"
896 that the commit has not been properly broken down into separate-purpose
897 commits. ask for advice on-list on how to proceed.
898
899 ### Exceptions to small commit: atomic single purpose commit
900
901 * if it is essential to commit large amounts of code, ensure that it
902 is **not** in use **anywhere** by any other code. then make a *small*
903 (single purpose) followup commit which actually puts that code into use.
904
905 This last rule is kinda flexible, because if you add the code *and* add
906 the unit test *and* added it into the main code *and* ran all relevant
907 unit tests on all cascade-impacted areas by that change, that's perfectly
908 fine too. however if it is the end of a day, and you need to stop and
909 do not have time to run the necessary unit tests, do *not* commit the
910 change which integrates untested code: just commit the new code (only)
911 and follow up the next day *after* running the full relevant unit tests.
912
913 ### Why such strict rules?
914
915 The reason for all the above is because python is a dynamically typed
916 language. make one tiny change at the base level of the class hierarchy
917 and the effect may be disastrous.
918
919 It is therefore worth reiterating: make absolutely certain that you *only*
920 commit working code or zero-impact code.
921
922 Therefore, if you are absolutely certain that a new addition (new file,
923 new class, new function) is not going to have any side-effects, committing
924 it (a large amount of code) is perfectly fine.
925
926 As a general rule, however, do not use this an an excuse to write code
927 first then write unit tests as an afterthought. write *less* code *in
928 conjunction* with its (more basic) unit tests, instead. then, folliw up with
929 additions and improvements.
930
931 The reason for separating out commits to single purpose only becomes
932 obvious (and regretted if not followed) when, months later, a mistake
933 has to be tracked down and reverted. if the commit does not have an
934 easy-to-find message, it cannot even be located, and once found, if the
935 commit confuses several unrelated changes, not only the diff is larger
936 than it should be, the reversion process becomes extremely painful.
937
938 ### PHP-style python format-strings
939
940 As the name suggests, "PHP-style" is not given as a compliment.
941 Format-strings - `f"{variable} {pythoncodefragment}" are a nightmare
942 to read. The lesson from PHP, Zope and Plone: when code is embedded,
943 the purpose of the formatting - the separation of the format from
944 the data to be placed in it - is merged, and consequently become
945 unreadable.
946
947 By contrast, let us imagine a situation where 12 variables need to
948 be inserted into a string, four of which are the same variablename:
949
950 x = "%s %s %s %s %s %s %s %s %s %s %s %s" % (var1, var2, var3,
951 var3, var4, var2,
952 var1, var9, var1,
953 var3, var4, var1)
954
955 This is just as unreadable, but for different reasons. Here it *is*
956 useful to do this as:
957
958 x = f"{var1} {var2} {var3}" \
959 ...
960 f"{var3} {var4} {var1}"
961
962 As a general rule, though, format-specifiers should be strongly
963 avoided, given that they mix even variable-names directly inside
964 a string.
965
966 This additionally gives text editors (and online web syntax
967 highlighters) the opportunity to colour syntax-highlight the
968 ASCII string (the format) from the variables to be inserted *into*
969 that format. gitweb for example (used by this project) cannot
970 highlight string-formatted code.
971
972 It turns out that colour is processed by the **opposite** hemisphere
973 of the brain from written language. Thus, colour-syntax-highlighting
974 is not just a "nice-to-have", it's **vital** for easier and faster
975 identification of context and an aid to rapid understanding.
976
977 Anything that interferes with that - such as python format-strings -
978 has to take a back seat, regardless of its perceived benefits.
979
980 **If you absolutely must** use python-format-strings, **only** do
981 so by restricting to variables. Create temporary variables if you
982 have to.
983
984 y = '/'.join(a_list)
985 x = f"{y}"
986
987 ### PEP8 format
988
989 * all code needs to conform to pep8. use either pep8checker or better
990 run autopep8. however whenever committing whitespace changes, *make a
991 separate commit* with a commit message "whitespace" or "autopep8 cleanup".
992 * pep8 REQUIRES no more than 80 chars per line. this is non-negotiable. if
993 you think you need greater than 80 chars, it *fundamentally* indicates
994 poor code design. split the code down further into smaller classes
995 and functions.
996
997 ### Docstring checker
998
999 * TBD there is a docstring checker. at the minimum make sure to have
1000 an SPD license header, module header docstring, class docstring and
1001 function docstrings on at least non-obvious functions.
1002
1003 ### Clear code commenting and docstrings
1004
1005 * make liberal but not excessive use of comments. describe a group of
1006 lines of code, with terse but useful comments describing the purpose,
1007 documenting any side-effects, and anything that could trip you or other
1008 developers up. unusual coding techniques should *definitely* contain
1009 a warning.
1010
1011 ### Only one class per module (ish)
1012
1013 * unless they are very closely related, only have one module (one class)
1014 per file. a file only 25 lines long including imports and docstrings
1015 is perfectly fine however don't force yourself. again, if unsure,
1016 ask on-list.
1017
1018 ### File and Directory hierarchy
1019
1020 * *keep files short and simple*. see below as to why
1021 * create a decent directory hierarchy but do not go mad. ask for advice
1022 if unsure
1023
1024 ### No import star!
1025
1026 * please do not use "from module import \*". it is extremely bad practice,
1027 causes unnecessary resource utilisation, makes code readability and
1028 tracking extremely difficult, and results in unintended side-effects.
1029
1030 Example: often you want to find the code from which a class was imported.
1031 nirmally you go to the top of the file, check the imports, and you know
1032 exactly which file has the class because of the import path. by using
1033 wildcards, you have absolutely *no clue* which wildcard imported which
1034 class or classes.
1035
1036 Example: sometimes you may accidentally have duplicate code maintained
1037 in two or more places. editing one of them you find, puzzlingly, that
1038 the code behaves in some files with the old behaviour, but in others it
1039 works. after a massive amount of investigation, you find that the working
1040 files happen to have a wildcard import of the newer accidental duplicate
1041 class **after** the wildcard import of the older class with exactly the
1042 same name. if you had used explicit imports, you would have spotted
1043 the double import of the class from two separate locations, immediately.
1044
1045 Really. don't. use. wildcards.
1046
1047 More about this here:
1048
1049 * <https://www.asmeurer.com/removestar/>
1050 * <https://rules.sonarsource.com/python/RSPEC-2208>
1051
1052 ### Keep file and variables short but clear
1053
1054 * try to keep both filenames and variable names short but not ridiculously
1055 obtuse. an interesting compromise on imports is "from ridiculousfilename
1056 import longsillyname as lsn", and to assign variables as well: "comb =
1057 m.d.comb" followed by multiple "comb += nmigen_stmt" lines is a good trick
1058 that can reduce code indentation by 6 characters without reducing clarity.
1059
1060 Additionally, use comments just above an obtuse variable in order to
1061 help explain what it is for. In combination with keeping the the module
1062 itself short, other readers will not need to scroll back several pages
1063 in order to understand the code.
1064
1065 Yes it is tempting to actually use the variables as
1066 self-explanatory-comments and generally this can be extremely good
1067 practice. the problem comes when the variable is so long that a function
1068 with several parameters csn no longer fit on a single line, and takes
1069 up five to ten lines rather than one or two. at that point, the length
1070 of the code is adversely affected and thus so is readability by forcing
1071 readers to scroll through reams of pages.
1072
1073 It is a tricky balance: basically use your common sense, or just ask
1074 someone else, "can you understand this code?"
1075
1076 ### Reasons for code structure
1077
1078 Regarding code structure: we decided to go with small modules that are
1079 both easy to analyse, as well as fit onto a single page and be readable
1080 when displayed as a visual graph on a full UHD monitor. this is done
1081 as follows:
1082
1083 * using the capability of nmigen (TODO crossref to example) output the
1084 module to a yosys ilang (.il) file
1085 * in a separate terminal window, run yosys
1086 * at the yosys prompt type "read_ilang modulename.il"
1087 * type "show top" and a graphviz window should appear. note that typing
1088 show, then space, then pressing the tab key twice will give a full list
1089 of submodules (one of which will be "top")
1090
1091 You can now fullsize the graphviz window and scroll around. if it looks
1092 reasonably obvious at 100% zoom, i.e the connections can be clearly
1093 related in your mind back to the actual code (by matching the graph names
1094 against signals and modules in the original nmigen code) and the words are
1095 not tiny when zoomed out, and connections are not total incomprehensible
1096 spaghetti, then congratulations, you have well-designed code. If not,
1097 then this indicates a need to split the code further into submodules
1098 and do a bit more work.
1099
1100 The reasons for doing a proper modularisation job are several-fold:
1101
1102 * firstly, we will not be doing a full automated layout-and-hope
1103 using alliance/coriolis2, we will be doing leaf-node thru tree node
1104 half-automated half-manual layout, finally getting to the floorplan,
1105 then revising and iteratively adjusting.
1106 * secondly, examining modules at the gate level (or close to it) is just
1107 good practice. poor design creeps in by *not* knowing what the tools
1108 are actually doing (word to experienced developers: yes, we know that
1109 the yosys graph != final netlist).
1110 * thirdly, unit testing, particularly formal proofs, is far easier on
1111 small sections of code, and complete in a reasonable time.
1112
1113 ## Special warning / alert to vim users!
1114
1115 Some time around the beginning of 2019 some bright spark decided that
1116 an "auto-recommend-completion-of-stuff" option would be a nice, shiny
1117 idea to enable by default from that point onwards.
1118
1119 This incredibly annoying "feature" results in tabs (or spaces) being
1120 inserted "on your behalf" when you press return on one line, for your
1121 "convenience" of not needing to type lots of spaces/tabs just to get
1122 to the same indentation level.
1123
1124 Of course, this "feature", if you press return on one line in edit
1125 mode and then press "escape", leaves a bundle-of-joy extraneous
1126 whitespace **exactly** where you don't want it, and didn't ask for it,
1127 pooped all over your file.
1128
1129 Therefore, *please*: **before** running "git commit", get into the
1130 habit of always running "git diff", and at the very minimum
1131 speed-skim the entire diff, looking for tell-tale "red squares"
1132 (these show up under bash diff colour-syntax-highlighting) that
1133 inform you that, without your knowledge or consent, vim has
1134 "helpfully" inserted extraneous whitespace.
1135
1136 Remove them **before** git committing because they are not part
1137 of the actual desired code-modifications, and committing them
1138 is a major and constant distraction for reviewers about actual
1139 important things like "the code that actually *usefully* was
1140 modified for that commit"
1141
1142 This has the useful side-effect of ensuring that, right before
1143 the commit, you've got the actual diff right in front of you
1144 in the xterm window, on which you can base the "commit message".
1145
1146 ## Unit tests
1147
1148 For further reading, see the wikipedia page on
1149 [Test-driven Development](https://en.wikipedia.org/wiki/Test-driven_development)
1150
1151 This deserves its own special section. It is extremely important to
1152 appreciate that without unit tests, python projects are simply unviable.
1153 Python itself has over 25,000 individual tests.
1154
1155 This can be quite overwhelming to a beginner developer, especially one
1156 used to writing scripts of only 100 lines in length.
1157
1158 Thanks to Samuel Falvo we learned that writing unit tests as a formal
1159 proof is not only shorter, it's also far more readable and also, if
1160 written properly, provides 100% coverage of corner-cases that would
1161 otherwise be overlooked or require tens to hundreds of thousands of
1162 tests to be run.
1163
1164 No this is not a joke or even remotely hypothetical, this is an actual
1165 real-world problem.
1166
1167 The ieee754fpu requires several hundreds of thousands of tests to be
1168 run (currently needing several days to run them all), and even then we
1169 cannot be absolutely certain that all possible combinations of input have
1170 been tested. With 2^128 permutations to try with 2 64 bit FP numbers
1171 it is simply impossible to even try.
1172
1173 This is where formal proofs come into play.
1174
1175 Samuel illustrated to us that "ordinary" unit tests can then be written
1176 to *augment* the formal ones, serving the purpose of illustrating how
1177 to use the module, more than anything.
1178
1179 However it is appreciated that writing formal proofs is a bit of a
1180 black art. This is where team collaboration particularly kicks in,
1181 so if you need help, ask on the mailing list.
1182
1183 ## Don't comment out unit tests: add them first (as failures) and fix code later
1184
1185 Unit tests serve an additional critical purpose of keeping track of code
1186 that needs to be written. In many cases, you write the unit test *first*,
1187 despite knowing full well that the code doesn't even exist or is completely
1188 broken. The unit test then serves as a constant and important reminder
1189 to actually fix (or write) the code.
1190
1191 Therefore, *do not* comment out unit tests just because they "don't work".
1192 If you absolutely must stop a unit test from running, **do not delete it**.
1193 Simply mark it with an appropriate
1194 ["skip" decorator](https://docs.python.org/3/library/unittest.html#skipping-tests-and-expected-failures),
1195 preferably with a link to a URL in the [bugtracker](https://bugs.libre-soc.org/)
1196 with further details as to why the unit test should not be run.
1197
1198 # Task management guidelines
1199
1200 1. Create the task in appropriate "Product" section with appropriate
1201 "Component" section. Most code tasks generally use "Libre-SOC's
1202 first SOC".
1203 2. Fill in "Depends on" and "Blocks" section whenever appropriate.
1204 Also add as many related ("See Also") links to other bugreports
1205 as possible. bugreports are never isolated.
1206 3. Choose the correct task for a budget allocation. Usually the parent
1207 task is used.
1208 4. Choose the correct NLnet milestone. The best practice is to check
1209 the parent task for a correct milestone.
1210 5. Assign the budget to the task in `"USER=SUM"` form, where "USER"
1211 corresponds to your username and "SUM" corresponds to the actual
1212 budget in EUR. There may be multiple users.
1213 6. When the task is completed, you can begin writing an RFP.
1214 **DO NOT submit it without explicit authorisation and review**.
1215 Leave out your bank and personal address details if you prefer
1216 when sending to the Team Manager for review.
1217 7. Once the RFP is written, notify the Team Manager and obtain their
1218 explicit approval to send it.
1219 8. Once approval is received and the RFP sent, update the `"USER=SUM"`
1220 field to include the submitted date:
1221 `"USER={amount=SUM, submitted=SDATE}"`. The SDATE is entered in
1222 `YYYY-MM-DD` form.
1223 9. Once the task is paid, again notify the Team Manager (IRC is fine),
1224 and update `"USER={amount=SUM, submitted=SDATE}"`
1225 to `"USER={amount=SUM, submitted=SDATE, paid=PDATE}"`. The PDATE is
1226 entered in `YYYY-MM-DD` form, too.
1227
1228 Throughout all of this you should be using budget-sync to check the
1229 database consistency
1230 <https://git.libre-soc.org/?p=utils.git;a=blob;f=README.txt;hb=HEAD>
1231
1232 [[!img bugzilla_RFP_fields.jpg size=640x ]]
1233
1234 # TODO Tutorials
1235
1236 Find appropriate tutorials for nmigen and yosys, as well as symbiyosys.
1237
1238 * Robert Baruch's nmigen tutorials look really good:
1239 <https://github.com/RobertBaruch/nmigen-tutorial>
1240 * Although a verilog example this is very useful to do
1241 <https://symbiyosys.readthedocs.io/en/latest/quickstart.html#first-step-a-simple-bmc-example>
1242 * This tutorial looks pretty good and will get you started
1243 <https://web.archive.org/web/20210123052724/http://blog.lambdaconcept.com/doku.php?id=nmigen:nmigen_install>
1244 and walks not just through simulation, it takes you through using
1245 gtkwave as well.
1246 * There exist several nmigen examples which are also executable
1247 <https://gitlab.com/nmigen/nmigen/tree/master/examples/> exactly as
1248 described in the above tutorial (python3 filename.py -h)
1249 * More nmigen tutorials at [[learning_nmigen]]