(no commit message)
[libreriscv.git] / HDL_workflow.mdwn
1 [[!toc ]]
2
3 ---
4
5 # HDL workflow
6
7 This section describes the workflow and some best practices for developing
8 the Libre-SOC hardware. We use nmigen, yosys and symbiyosys, and this
9 page is intended not just to help you get set up, it is intended to
10 help advise you of some tricks and practices that will help you become
11 effective team contributors.
12
13 It is particularly important to bear in mind that we are not just
14 "developing code", here: we are creating a "lasting legacy educational
15 resource" for other people to learn from, and for businesses and students
16 alike to be able to use, learn from and augment for their own purposes.
17
18 It is also important to appreciate and respect that we are funded under
19 NLNet's Privacy and Enhanced Trust Programme <http://nlnet.nl/PET>. Full
20 transparency, readability, documentation, effective team communication
21 and formal mathematical proofs for all code at all levels is therefore
22 paramount.
23
24 Therefore, we need not only to be "self-sufficient" (absolutely
25 under no circumstances critically reliant on somebody else's servers
26 **or protocols**) we also need to ensure that everything (including
27 all communication such as the mailing list archives) are recorded,
28 replicable, and accessible in perpetuity. Use of slack or a "forum"
29 either actively prevents or makes that much harder.
30
31 # Collaboration resources
32
33 The main message here: **use the right tool for the right job**.
34
35 * mailing list: general communication and discussion.
36 * irc channel #libre-soc on irc.libera.chat: real(ish)-time communication.
37 * bugtracker: task-orientated, goal-orientated *focussed* discussion.
38 * ikiwiki: document store, information store, and (editable) main website
39 * git repositories: code stores (**not binary or auto-generated output store**)
40 * ftp server (<https://ftp.libre-soc.org/>): large (temporary,
41 auto-generated) file store.
42
43 Note also the lack of a "forum" in the above list. this is very
44 deliberate. forums are a serious distraction when it comes to technical
45 heavily goal-orientated development. recent internet users may enjoy
46 looking up the "AOL metoo postings" meme.
47
48 Note also the complete lack of "social platforms". if we wanted to tell
49 everybody how much better each of us are than anyone else in the team,
50 how many times we made a commit (look at me, look at me, i'm so clever),
51 and how many times we went to the bathroom, we would have installed a
52 social media based project "management" system.
53
54 ## Main contact method: mailing list
55
56 To respect the transparency requirements, conversations need to be
57 public and archived (i.e not skype, not telegram, not discord,
58 and anyone seriously suggesting slack will be thrown to the
59 lions). Therefore we have a mailing list. Everything goes through
60 there. <https://lists.libre-soc.org/mailman/listinfo/libre-soc-dev>
61 therefore please do google "mailing list etiquette" and at the very
62 minimum look up and understand the following:
63
64 * This is a technical mailing list with complex topics. Top posting
65 is completely inappropriate. Don't do it unless you have mitigating
66 circumstances, and even then please apologise and explain ("hello sorry
67 using phone at airport flight soon, v. quick reply: ....")
68 * Always trim context but do not cut excessively to the point where people
69 cannot follow the discussion. Especially do not cut the attribution
70 ("On monday xxx wrote") of something that you are actually replying
71 to.
72 * Use inline replies i.e. reply at the point in the relevant part of
73 the conversation, as if you were actually having a conversation.
74 * Follow standard IETF reply formatting, using ">" for cascaded
75 indentation of other people's replies. If using gmail, please: SWITCH
76 OFF RICH TEXT EDITING.
77 * Please for god's sake do not use "my replies are in a different
78 colour". Only old and highly regarded people still using AOL are allowed
79 to get away with that (such as Mitch).
80 * Start a new topic with a relevant subject line. If an existing
81 discussion changes direction, change the subject line to reflect the
82 new topic (or start a new conversation entirely, without using the
83 "reply" button)
84 * DMARC is a pain on the neck. Try to avoid GPG signed messages. sigh.
85 * Don't send massive attachments. Put them online (no, not on facebook or
86 google drive or anywhere else that demands privacy violations) and provide
87 the link. Which should not require any kind of login to access. ask the
88 listadmin if you don't have anywhere suitable: FTP access can be arranged.
89
90 ### Actionable items from mailing list
91
92 If discussions result in any actionable items, it is important not to
93 lose track of them. Create a bugreport, find the discussion in the
94 archives <https://lists.libre-soc.org/pipermail/libre-soc-dev/>,
95 and put the link actually in the bugtracker as one of the comments.
96
97 At some point in any discussion, the sudden realisation may dawn on one
98 or more people that this is an "actionable" discussion. at that point
99 it may become better to use <https://bugs.libre-soc.org/>
100 itself to continue the discussion rather than to keep on dropping copies
101 of links into the bugtracker. The bugtracker sends copies of comments
102 *to* the list however this is 'one-way' (note from lkcl: because this
103 involves running an automated perl script from email, on every email,
104 on the server, that is a high security risk, and i'm not doing it. sorry.)
105
106 ### Mailing list != editable document store
107
108 Also, please do not use the mailing list as an "information or document
109 store or poor-man's editor" **including not sending large images**.
110 We have the wiki for that. Edit a page and
111 tell people what you did (summarise rather than drop the entire contents
112 at the list) and include the link to the page.
113
114 Or, if it is more appropriate, commit a document (or source code)
115 into the relevant git repository then look up the link in the gitweb
116 source tree browser and post that (in the bugtracker or mailing list)
117 See <https://git.libre-soc.org/>
118
119 ### gmail "spam"ifying the list
120
121 See <https://blog.kittycooper.com/2014/05/keeping-my-mailing-list-emails-out-of-gmails-spam-folder/>
122
123 Basically it is possible to select any message from the list, create a
124 "filter" (under "More"), and, on the 2nd dialog box, click the "never
125 send this to Spam" option.
126
127 ## Bugtracker
128
129 bugzilla. old and highly effective. sign up in the usual way. any
130 problems, ask on the list.
131
132 Please do not ask for the project to be transferred to github or other
133 proprietary nonfree service "because it's soooo convenient", as the
134 lions are getting wind and gout from overfeeding on that one.
135
136 one.
137
138 ### Why raise issues
139
140 * [Bug #1126](https://bugs.libre-soc.org/show_bug.cgi?id=1126)
141
142 If you have discovered a problem in Libre-SOC (software, hardware, etc.),
143 please raise a bug report!
144 Bug reports allow tracking of issues, both to make the developers lives easier,
145 as well as for tracking completed grant-funded work.
146
147 ####How to raise issues
148
149 1. Create a bug report.
150 2. Add in any links from the mailing list or IRC logs to the bug report for back tracking
151 (this is mandatory). Also fill in the URL field if there is a relevant wiki page.
152 3. CC in relevant team members
153 4. make absolutely sure to fill in "blocks", "depends on" or "see also" so that the
154 bug is not isolated (otherwise bugs are too hard to find if isolated from everything else)
155 45. Ping on IRC to say a bug has been created
156
157 ## ikiwiki
158
159 Runs the main libre-soc.org site (including this page). effective,
160 stunningly light on resources, and uses a git repository not a database.
161 That means it can be edited offline.
162
163 Usual deal: register an account and you can start editing and contributing
164 straight away.
165
166 Hint: to create a new page, find a suitable page that would link to it,
167 first, then put the link in of the page you want to create, as if the
168 page already exists. Save that page, and you will find a question mark
169 next to the new link you created. click that link, and it will fire up a
170 "create new page" editor.
171
172 Wiki pages are formatted in [[markdown|ikiwiki/markdown]] syntax.
173
174 Hint again: the wiki is backed by a git repository. Don't go overboard
175 but at the same time do not be afraid that you might "damage" or "lose"
176 pages. Although it would be a minor pain, the pages can always be
177 reverted or edited by the sysadmins to restore things if you get in a tiz.
178
179 Assistance in creating a much better theme greatly appreciated. e.g.
180 <http://www.math.cmu.edu/~gautam/sj/blog/20140720-ikiwiki-navbar.html>
181
182 ## git
183
184 We use git. More on this below. We also use
185 [gitolite3](https://gitolite.com/gitolite/) running on a dedicated server.
186 again, it is extremely effective and low resource utilisation. Reminder:
187 lions are involved if github is mentioned.
188
189 [gitweb](https://git.wiki.kernel.org/index.php/Gitweb) is provided which
190 does a decent job. <https://git.libre-soc.org/>
191
192 [Git](https://en.wikipedia.org/wiki/Git) does version control, ie it
193 tracks changes to files so that previous versions can be got back or
194 compared.
195
196 Checklist page [[HDL_workflow/git_checklist]]
197
198 ## ftp server
199
200 <https://ftp.libre-soc.org/> is available for storing large files
201 that do not belong in a git repository, if we have (or ever need)
202 any. Images (etc.) if small and appropriate should go into the
203 wiki, however .tgz archives (etc.) and, at some point, binaries,
204 should be on the ftp server.
205
206 Ask on the list if you have a file that belongs on the ftp server.
207
208 ## server
209
210 As an aside: all this is "old school" and run on a single core 512MB
211 VM with only a 20GB HDD allocation. it costs only 8 GBP per month from
212 mythic-beasts and means that the project is in no way dependent on anyone
213 else - not microsoft, not google, not facebook, not amazon.
214
215 We tried [gitlab](https://about.gitlab.com/). it didn't go well. please
216 don't ask to replace the above extremely resource-efficient services
217 with it.
218
219 # Hardware
220
221 RAM is the biggest requirement. Minimum 16GB, the more the better (32
222 or 64GB starts to reach "acceptable" levels. Disk space is not hugely
223 critical: 256GB SSD should be more than adequate. Simulations and
224 FPGA compilations however are where raw processing power is a must.
225 High end Graphics Cards are nonessential.
226
227 What is particularly useful is to have hi-res screens (curved is
228 *strongly* recommended if the LCD is over 24in wide, to avoid eyeballs
229 going "prism" through long term use), and to have several of them: the
230 more the better. Either a DisplayLink UD160A (or more modern variant)
231 or simply using a second machine (lower spec hardware because it will
232 run editors) is really effective.
233
234 Also it is really recommended to have a UHD monitor (4k - 3840x2160),
235 or at least 2560x1200. If given a choice, 4:3 aspect ratio is better
236 than 16:9 particularly when using several of them. However, caveat
237 (details below): please when editing do not assume that everyone will
238 have access to such high resolution screens.
239
240 # Operating System
241
242 First install and become familiar with
243 [Debian](https://www.debian.org/) ([Ubuntu](https://ubuntu.com/)
244 if you absolutely
245 must) for standardisation cross-team and so that toolchain installation
246 is greatly simplified. yosys in particular warns that trying to use
247 Windows, BSD or MacOS will get you into a world of pain.
248
249 Only a basic GUI desktop is necessary: fvwm2, xfce4, lxde are perfectly
250 sufficient (alongside wicd-gtk for network management). Other more
251 complex desktops can be used however may consume greater resources.
252
253 # editors and editing
254
255 Whilst this is often a personal choice, the fact that many editors are
256 GUI based and run full-screen with the entire right hand side *and* middle
257 *and* the majority of the left side of the hi-res screen entirely unused
258 and bereft of text leaves experienced developers both amused and puzzled.
259
260 At the point where such full-screen users commit code with line lengths
261 well over 160 characters, that amusement quickly evaporates.
262
263 Where the problems occur with full-screen editor usage is when a project
264 is split into dozens if not hundreds of small files (as this one is). At
265 that point it becomes pretty much essential to have as many as six to
266 eight files open *and on-screen* at once, without overlaps i.e. not in
267 hidden tabs, next to at least two if not three additional free and clear
268 terminals into which commands are regularly and routinely typed (make,
269 git commit, nosetests3 etc). Illustrated with the following 3840x2160
270 screenshot (click to view full image), where *every one* of those 80x70
271 xterm windows is *relevant to the task at hand*.
272
273 [[!img 2020-01-24_11-56.png size=640x ]]
274
275 (hint/tip: fvwm2 set up with "mouse-over to raise focus, rather than
276 additionally requiring a mouse click, can save a huge amount of cumulative
277 development time here, switching between editor terminal(s) and the
278 command terminals).
279
280 Once this becomes necessary, it it turn implies that having greater
281 than 80 chars per line - and running editors full-screen - is a severe
282 hinderance to an essential *and highly effective* workflow technique.
283
284 Additionally, care should be taken to respect that not everyone will have
285 200+ column editor windows and the eyesight of a hawk. They may only have
286 a 1280 x 800 laptop which barely fits two 80x53 xterms side by side.
287 Consequently, having excessively long functions is also a hindrance to
288 others, as such developers with limited screen resources would need to
289 continuously page-up and page-down to read the code even of a single
290 function, in full.
291
292 This helps explain in part, below, why compliance with
293 [pep8](https://pep8.org/) is enforced, including its 80 character limit.
294 In short: not everyone has the same "modern" GUI workflow or has access
295 to the same computing resources as you, so please do respect that.
296
297 More on this concept is
298 [here](https://www.linuxjournal.com/content/line-length-limits).
299 Note *very pointedly* that Linus Torvalds *specifically* states that
300 he does not want Linux kernel development to become the exclusive
301 domain of the "wealthy". That means **no** to assumptions about
302 access to ultra-high resolution screens.
303
304 # Software prerequisites<a name="software-prerequisites"></a>
305
306 **Please make sure if you install manually that you install dependencies
307 in strict order. Failing to adhere to this will result in pip3 downloading
308 unauthorised older software versions. See
309 <http://lists.libre-soc.org/pipermail/libre-soc-dev/2021-September/003666.html>**
310
311 Whilst many resources online advocate "`sudo`" in front of all root-level
312 commands below, this quickly becomes tiresome. run "`sudo bash`", get a
313 root prompt, and save yourself some typing.
314
315 * sudo bash
316 * apt-get install vim exuberant-ctags
317 * apt-get install build-essential
318 * apt-get install git python3.7 python3.7-dev python3-nose
319 * apt-get install graphviz xdot gtkwave
320 * apt-get install python3-venv
321 * apt-get install python-virtualenv # this is an alternative to python3-venv
322 * apt-get install tcl-dev libreadline-dev bison flex libffi-dev iverilog
323 * return to user prompt (ctrl-d)
324
325 (The above assumes that you are running Debian.)
326
327 This will get you python3 and other tools that are
328 needed. [graphviz](https://graphviz.org/) is essential
329 for showing the interconnections between cells, and
330 [gtkwave](http://gtkwave.sourceforge.net/) is essential for debugging.
331
332 If you would like to save yourself a lot more typing, check out the
333 [dev-env-setup](https://git.libre-soc.org/?p=dev-env-setup.git;a=summary)
334 repository, examine the scripts there and use them to automate much of
335 the process below.
336
337 If you would like just to install only the apt dependencies use
338 [install-hdl-apt-reqs](https://git.libre-soc.org/?p=dev-env-setup.git;a=blob;f=install-hdl-apt-reqs;hb=HEAD) instead.
339
340 This page gives more details and a step by step process : [[HDL_workflow/devscripts]]
341
342 ## git
343
344 Look up good tutorials on how to use git effectively. There are so many
345 it is hard to recommend one. This is however essential. If you are not
346 comfortable with git, and you let things stay that way, it will seriously
347 impede development progress.
348
349 If working all day you should expect to be making at least two commits per
350 hour, so should become familiar with it very quickly. If you are *not*
351 doing around 2 commits per hour, something is wrong and you should read
352 the workflow instructions below more carefully, and also ask for advice
353 on the mailing list.
354
355 Worth noting: *this project does not use branches*. All code is committed
356 to master and we *require* that it be either zero-impact additions or that
357 relevant unit tests pass 100%. This ensures that people's work does not
358 get "lost" or isolated and out of touch due to major branch diversion,
359 and that people communicate and coordinate with each other.
360
361 This is not a hard rule: under special cirmstances branches can be useful.
362 They should not however be considered "routine".
363
364 For advice on commit messages see
365 [here](https://tbaggery.com/2008/04/19/a-note-about-git-commit-messages.html),
366 and [here](https://github.com/torvalds/subsurface-for-dirk/blob/master/README.md#contributing)).
367
368 ## yosys
369
370 Follow the source code (git clone) instructions here, do **not** use
371 the "stable" version (do not download the tarball):
372 <https://github.com/YosysHQ/yosys>
373
374 Or, alternatively, use the
375 [hdl-tools-yosys](https://git.libre-soc.org/?p=dev-env-setup.git;a=blob;f=hdl-tools-yosys;hb=HEAD)
376 script (which also installs symbiyosys and its dependencies)
377
378 Do not try to use a fixed revision of yosys (currently 0.9), nmigen is
379 evolving and frequently interacts with yosys.
380
381 [Yosys](https://github.com/YosysHQ/yosys is a framework for Verilog RTL.
382 [Verilog](https://en.wikipedia.org/wiki/Verilog) is a hardware description
383 language.
384 RTL [Register Transfer
385 Level](https://en.wikipedia.org/wiki/Register-transfer_level)
386 models how data moves between
387 [registers](https://en.wikipedia.org/wiki/Hardware_register).
388
389 ## symbiyosys
390
391 To install follow the [instructions
392 here](https://symbiyosys.readthedocs.io/en/latest/install.html)
393 Once done look at [A simple BMC
394 example](https://symbiyosys.readthedocs.io/en/latest/quickstart.html)
395
396 You do not have to install all of those (avy, boolector can be left
397 out if desired) however the more that are installed the more effective
398 the formal proof scripts will be (less resource utilisation in certain
399 circumstances).
400
401 [SymbiYosys](https://symbiyosys.readthedocs.io/en/latest/) (sby) is a
402 front-end driver program for Yosys-based formal hardware verification
403 flows.
404
405 ## nmigen (TM)
406
407 *nmigen is a registered trademark of M-Labs <https://uspto.report/TM/88980893>*
408
409 **PLEASE NOTE: it is critical to install nmigen as the first dependency
410 prior to installing any further python-based Libre-SOC HDL repositories.
411 If "pip3 list" shows that nmigen has been auto-installed please remove it**
412
413 [nmigen](https://m-labs.hk/gateware/nmigen/) may be installed as follows:
414
415 * mkdir ~/src
416 * cd !$
417 * git clone https://gitlab.com/nmigen/nmigen.git
418 * cd nmigen
419 * sudo bash
420 * python3 setup.py develop
421 * ctrl-d
422
423 Testing can then be carried out with "python3 setup.py test"
424
425 nmigen is a Python toolbox for building complex digital hardware.
426
427 ## Softfloat and sfpy
428
429 These are a test suite dependency for the
430 [ieee754fpu](https://www.gaisler.com/index.php/products/ipcores/ieee754fpu)
431 library, and will be changed in the future to use Jacob's
432 [simple-soft-float](https://crates.io/crates/simple-soft-float) library.
433 In the meantime, sfpy can be built as follows:
434
435 git clone --recursive https://github.com/billzorn/sfpy.git
436 cd sfpy
437 cd SoftPosit
438 git apply ../softposit_sfpy_build.patch
439 git apply /path/to/ieee754fpu/SoftPosit.patch
440 cd ../berkely-softfloat-3
441 # Note: Do not apply the patch included in sfpy for berkely-softfloat,
442 # it contains the same changes as this one
443 git apply /path/to/ieee754fpu/berkeley-softfloat.patch
444 cd ..
445
446 # prepare a virtual environment for building
447 python3 -m venv .env
448
449 # or, if you prefer the old way:
450 # virtualenv -p python3 .env
451
452 # install dependencies
453 source .env/bin/activate
454 pip3 install --upgrade -r requirements.txt
455
456 # build
457 make lib -j$(nproc)
458 make cython
459 make inplace -j$(nproc)
460 make wheel
461
462 # install
463 deactivate # deactivates venv, optional
464 pip3 install dist/sfpy*.whl
465
466 You can test your installation by doing the following:
467
468 python3
469 >>> from sfpy import Posit8
470 >>> Posit8(1.3)
471
472 It should print out `Posit8(1.3125)`
473
474 ## qemu, cross-compilers, gdb
475
476 As we are doing POWER ISA, POWER ISA compilers, toolchains and
477 emulators are required.
478 Again, if you want to save yourself some typing, use the dev scripts.
479 [install-hdl-apt-reqs](https://git.libre-soc.org/?p=dev-env-setup.git;a=blob;f=install-hdl-apt-reqs;hb=HEAD)
480 script will install the qemu;
481 [ppc64-gdb-gcc](https://git.libre-soc.org/?p=dev-env-setup.git;a=blob;f=ppc64-gdb-gcc;hb=HEAD)
482 script will install the toolchain and the corresponding debugger.
483 The steps are provided below only for reference; when in doubt,
484 consider checking and running the scripts.
485
486 Install powerpc64 gcc:
487
488 apt-get install gcc-8-powerpc64-linux-gnu
489
490 Install qemu:
491
492 apt-get install qemu-system-ppc
493
494 Install gdb from source. Obtain the required tarball matching
495 the version of gcc (8.3) from here <https://ftp.gnu.org/gnu/gdb/>,
496 unpack it, then:
497
498 cd gdb-8.3 (or other location)
499 mkdir build
500 cd build
501 ../configure --srcdir=.. --host=x86_64-linux --target=powerpc64-linux-gnu
502 make -j$(nproc)
503 make install
504
505 [gdb](https://en.wikipedia.org/wiki/GNU_Debugger) lets you debug running
506 programs. [qemu](https://www.qemu.org/) emulates processors, you can
507 run programs under qemu.
508
509 ## power-instruction-analyzer (pia)
510
511 We have a custom tool built in Rust by programmerjake to help analyze
512 the OpenPower instructions' execution on *actual* hardware.
513
514 Install Rust:
515
516 curl --proto '=https' --tlsv1.2 -sSf https://sh.rustup.rs | sh
517
518 Make sure we have the correct and up-to-date rust compiler (rustc & cargo):
519
520 rustup default stable
521 rustup update
522
523 Install the Python extension from git source by doing the following:
524
525 git clone https://salsa.debian.org/Kazan-team/power-instruction-analyzer.git pia
526 cd pia
527 ./libre-soc-install.sh
528
529 ## Chips4Makers JTAG
530
531 As this is an actual ASIC, we do not rely on an FPGA's JTAG TAP
532 interface, instead require a full complete independent implementation
533 of JTAG. Staf Verhaegen has one, with a full test suite, and it is
534 superb and well-written. The Libre-SOC version includes DMI (Debug
535 Memory Interface):
536
537 git clone https://git.libre-soc.org/git/c4m-jtag.git/
538 cd c4m-jtag
539 python3 setup.py develop
540
541 Included is an IDCODE tap point, Wishbone Master (for direct memory read
542 and write, fully independent of the core), IOPad redirection and testing,
543 and general purpose shift register capability for any custom use.
544
545 We added a DMI to JTAG bridge in LibreSOC which is
546 directly connected to the core, to access registers and
547 to be able to start and stop the core and change the PC.
548 In combination with the JTAG Wishbone interface the test
549 [ASIC](https://en.wikipedia.org/wiki/Application-specific_integrated_circuit)
550 can have a bootloader uploaded directly into onboard
551 [SRAM](https://en.wikipedia.org/wiki/Static_random-access_memory) and
552 execution begun.
553
554 [Chips4Makers](https://chips4makers.io/) make it possible for makers
555 and hobbyists to make their own open source chips.
556
557 [JTAG](https://en.wikipedia.org/wiki/JTAG) (Joint Test Action Group) is
558 an industry standard for verifying designs and testing printed circuit
559 boards after manufacture.
560
561 The [Wishbone
562 bus](https://en.wikipedia.org/wiki/Wishbone_%28computer_bus%29) is an open
563 source hardware computer bus intended to let the parts of an integrated
564 circuit communicate with each other.
565
566 ## Coriolis2
567 See [[HDL_workflow/coriolis2]] page, for those people doing layout work.
568
569 ## Nextpnr
570
571 A portable FPGA place and route tool.
572
573 See [[HDL_workflow/nextpnr]] page for installation instructions of nextpnr with ECP5 support for Lattice FPGA ECP5 series. Also see
574 [[HDL_workflow/ECP5_FPGA]] for connecting up to JTAG with a ULX3S
575 and the Lattice VERSA_ECP5.
576
577 ## Nextpnr-xilinx
578
579 An open source place and route framework for Xilinx FPGAs using Project Xray. We will use it for Xilinx 7-series FPGAs like Artix-7.
580
581 One of the ways to get Arty A7 100t Digilent FPGA board working.
582
583 See [[HDL_workflow/nextpnr-xilinx]] for installation instructions and dependencies.
584
585
586 ## Verilator
587
588 The fastest Verilog and SystemVerilog simulator. It compiles Verilog to C++ or SystemC.
589
590 Advise use only v4.106 at the moment.
591
592 See [[HDL_workflow/verilator]] page for installation instructions.
593
594 ## GHDL
595
596 GHDL is a shorthand for G Hardware Design Language. It is a VHDL analyzer, compiler, simulator and (experimental) synthesizer that can process (nearly) any VHDL design.
597
598 VHDL is an acronym for Very High Speed Integrated Circuit (VHSIC) Hardware Description Language (HDL), which is a programming language used to describe a logic circuit by function, data flow behavior, or structure.
599
600 Unlike some other simulators, GHDL is a compiler: it directly translates a VHDL file to machine code, without using an intermediary language such as C or C++. Therefore, the compiled code should be faster and the analysis time should be shorter than with a compiler using an intermediary language.
601
602 GHDL aims at implementing VHDL as defined by IEEE 1076. It supports the 1987, 1993 and 2002 revisions and, partially, 2008. PSL is also partially supported.
603
604 See [[HDL_workflow/ghdl]] page for installation instructions.
605
606 ## Icarus Verilog
607
608 Icarus Verilog is a Verilog simulation and synthesis tool. It operates as a compiler, compiling source code written in Verilog (IEEE-1364) into some target format.
609
610 See [[HDL_workflow/iverilog]] page for installation instructions.
611
612 ## Cocotb
613
614 cocotb is a COroutine based COsimulation TestBench environment for verifying VHDL and SystemVerilog RTL using Python.
615
616 See [[HDL_workflow/cocotb]] page for installation instructions.
617
618 ## Symbiflow
619
620 A fully open source toolchain for the development of FPGAs. Currently it targets Xilinx 7-series, Lattice iCE40 and ECP5, Quicklogic EOS S3.
621
622 One way to get the Arty A7 100t Digilent FPGA board working.
623
624 See [[HDL_workflow/symbiflow]] for installation instructions
625 and dependencies.
626
627 ## FPGA/Board Boot-Loaders-Programmers
628
629 Open source FPGA/Board boot-loaders and programmers for ULX3S, ECP5 and
630 OrangeCrab.
631
632 Currently these programs dfu-util, openFPGALoader, ujprog, fujprog,
633 xc3sprog and ecpprog are going to be used.
634
635 See [[HDL_workflow/fpga-boot-loaders-progs]] for installation instructions and dependencies.
636
637 ## ls2 peripheral fabric
638
639 [[HDL_workflow/ls2]]
640
641 # Registering for git repository access<a name="gitolite3_access"></a>
642
643 After going through the onboarding process and having agreed to take
644 responsibility for certain tasks, ask on the mailing list for git
645 repository access, sending in a public key (`id_rsa.pub`). If you do
646 not have one then generate it with `ssh-keygen -t rsa`. You will find it
647 in `~/.ssh`
648
649 NEVER SEND ANYONE THE PRIVATE KEY. By contrast the public key, on
650 account of being public, is perfectly fine to make... err... public.
651
652 Create a file `~/.ssh/config` with the following lines:
653
654 Host git.libre-soc.org
655 Port 922
656
657 Test that you have access with this command:
658
659 ssh -v -p922 gitolite3@git.libre-soc.org
660
661 Please note: **DO NOT TYPE A PASSWORD** - the server gets hit by a lot of
662 port-scanning, and detection of password failures are used to instantly
663 ban IP addresses.
664
665 Wait for the Project Admin to confirm that the ssh key has been added
666 to the required repositories. Once confirmed, you can clone any of the
667 repos at https://git.libre-soc.org/:
668
669 git clone gitolite3@git.libre-soc.org:REPONAME.git
670
671 Alternatively, the .ssh/config can be skipped and this used:
672
673 git clone ssh://gitolite3@git.libre-soc.org:922/REPONAME.git
674
675 Note: **DO NOT ATTEMPT TO LOG IN TO THE SERVER WITH A PERSONAL ACCOUNT**.
676 fail2ban is running and, due to repeated persistent port-scanning spammers
677 is set up to instantly ban any unauthorised ssh access for up to two weeks.
678 This keeps log file sizes down on the server (which is resource-constrained).
679 If you are wondering why this is done, it's a *lot* of port-scans.
680
681 Therefore, *only* ssh in to server with the gitolite3 account, *only*
682 on port 922, and *only* once the systems administrator has given you
683 the all-clear that the ssh key has been added.
684
685 # git configuration
686
687 Although there are methods online which describe how (and why) these
688 settings are normally done, honestly it is simpler and easier to open
689 ~/.gitconfig and add them by hand.
690
691 core.autocrlf is a good idea to ensure that anyone adding DOS-formatted
692 files they don't become a pain. pull.rebase is something that is greatly
693 preferred for this project because it avoids the mess of "multiple
694 extra merge git tree entries", and branch.autosetuprebase=always will,
695 if you want it, always ensure that a new git checkout is set up with rebase.
696
697 [core]
698 autocrlf = input
699 [push]
700 default = simple
701 [pull]
702 rebase = true
703 [branch]
704 autosetuprebase = always
705
706 # Checking out the HDL repositories
707
708 Before running the following, install the
709 dependencies. This is easiest done with this script
710 <https://git.libre-soc.org/?p=dev-env-setup.git;a=blob;f=install-hdl-apt-reqs;hb=HEAD>
711
712 **It is critically important to install these in STRICT order, otherwise
713 pip3 interferes and performs unauthorised downloads without informing
714 you of what it is doing**.
715
716 * mkdir ~/src
717 * cd !$
718 * git clone https://gitlab.com/nmigen/nmigen
719 * git clone https://gitlab.com/nmigen/nmigen-boards
720 * git clone https://gitlab.com/nmigen/nmigen-soc
721 * git clone https://gitlab.com/nmigen/nmigen-stdio
722 * git clone gitolite3@git.libre-soc.org:c4m-jtag.git
723 * git clone gitolite3@git.libre-soc.org:nmutil.git
724 * git clone gitolite3@git.libre-soc.org:openpower-isa.git
725 * git clone gitolite3@git.libre-soc.org:ieee754fpu.git
726 * git clone gitolite3@git.libre-soc.org:soc.git
727
728 In each of these directories, **in the order listed**, track down the
729 `setup.py` file, then, as root (`sudo bash`), run the following:
730
731 * python3 setup.py develop
732
733 The reason for using "develop" mode is that the code may be edited
734 in-place yet still imported "globally". There are variants on this theme
735 for multi-user machine use however it is often just easier to get your
736 own machine these days.
737
738 The reason for the order is because soc depends on ieee754fpu, and
739 ieee754fpu depends on nmutil. If you do not follow the listed order
740 pip3 will go off and download an arbitrary version without your
741 consent.
742
743 If "`python3 setup.py install`" is used it is a pain: edit, then
744 install. edit, then install. It gets extremely tedious, hence why
745 "develop" was created.
746
747 If you prefer you can use this script instead: of course you checked it
748 in advance and accept full responsibility.
749 <https://git.libre-soc.org/?p=dev-env-setup.git;a=blob;f=hdl-dev-repos;hb=HEAD>
750
751 # Development Rules
752
753 Team communication:
754
755 * new members, add yourself to the [[about_us]] page and create yourself
756 a home page using someone else's page as a template.
757 * communicate on the mailing list or the bugtracker an intent to take
758 responsibility for a particular task.
759 * assign yourself as the bug's owner
760 * *keep in touch* about what you are doing, and why you are doing it.
761 * edit your home page regularly, particularly to track tasks so that
762 they can be paid by NLNet.
763 * if you cannot do something that you have taken responsibility for,
764 then unless it is a dire personal emergency please say so, on-list. we
765 won't mind. we'll help sort it out.
766
767 Regarding the above it is important that you read, understand, and agree
768 to the [[charter]] because the charter is about ensuring that we operate
769 as an effective organisation. It's *not* about "setting rules and meting
770 out punishment".
771
772 ## Coding
773
774 for actual code development
775
776 ### Plan unit tests
777
778 * plan in advance to write not just code but a full test suite for
779 that code. **this is not optional**. large python projects that do not
780 have unit tests **FAIL** (see separate section below).
781 * Prioritise writing formal proofs and a single clear unit test that is more
782 like a "worked example".
783 We receive NLNet funds for writing formal proofs, plus they
784 cover corner cases and take far less time to write
785
786 ### Commit tested or zero-dependent code
787
788 * only commit code that has been tested (or is presently unused). other
789 people will be depending on you, so do take care not to screw up.
790 not least because, as it says in the [[charter]] it will be your
791 responsibility to fix. that said, do not feel intimidated: ask for help
792 and advice, and you'll get it straight away.
793
794 ### Commit often
795
796 * commit often. several times a day, and "git push" it. this is
797 collaboration. if something is left even overnight uncommitted and not
798 pushed so that other people can see it, it is a red flag.
799 * if you find
800 yourself thinking "i'll commit it when it's finished" or "i don't want to
801 commit something that people might criticise" *this is not collaboration*,
802 it is making yourself a bottleneck. pair-programming is supposed to help
803 avoid this kind of thing however pair-programming is difficult to organise
804 for remote collaborative libre projects (suggestions welcomed here)
805
806 ### Enable editor auto-detection of file changes by external programs
807
808 This is important. "`git pull`" will merge in changes. If you then
809 arbitrarily save a file without re-loading it, you risk destroying
810 other people's work.
811
812 You can avoid damaging the repositories by following some simple procedures:
813
814 run appropriate unit tests
815 git pull
816 run appropriate unit tests again (checks other people's work)
817 git diff # and actually read and review the output
818 git status # check for any missing files
819 git commit # with appropriate arguments and message
820 git push # always always always do this
821
822 ### Absolutely no auto-generated output
823
824 * **do not commit autogenerated output**. write a shell script and commit
825 that, or add a `Makefile` to run the command that generates the output, but
826 **do not** add the actual output of **any** command to the repository.
827 ever. this is really important. even if it is a human-readable file
828 rather than a binary object file.
829 * it is very common to add PDFs (the result of running `latex2pdf`) or
830 configure.in (the result of running `automake`), they are an absolute
831 nuisance and interfere hugely with git diffs, as well as waste hard
832 disk space *and* network bandwidth. don't do it.
833 * do not add multi-megabyte or multi-gigabyte "test data".
834 use shell scripts and commit that, which automatically downloads the
835 "test data" from a well-known known-good reliable location instead.
836
837 ### Write commands that do tasks and commit those
838
839 * if the command needed to create any given autogenerated output is not
840 currently in the list of known project dependencies, first consult on
841 the list if it is okay to make that command become a hard dependency of
842 the project (hint: java, node.js php and .NET commands may cause delays
843 in response time due to other list participants laughing hysterically),
844 and after a decision is made, document the dependency and how its source
845 code is obtained and built (hence why it has to be discussed carefully)
846 * if you find yourself repeating commands regularly, chances are high
847 that someone else will need to run them, too. clearly this includes
848 yourself, therefore, to make everyone's lives easier including your own,
849 put them into a `.sh` shell script (and/or a `Makefile`), commit them to
850 the repository and document them at the very minimum in the README,
851 INSTALL.txt or somewhere in a docs folder as appropriate. if unsure,
852 ask on the mailing list for advice.
853
854 ### Keep commits single-purpose
855
856 * edit files making minimal *single purpose* modifications (even if
857 it involves multiple files. Good extreme example: globally changing
858 a function name across an entire codebase is one purpose, one commit,
859 yet hundreds of files. miss out one of those files, requiring multiple
860 commits, and it actually becomes a nuisance).
861
862 ### Run unit tests prior to commits
863
864 * prior to committing make sure that relevant unit tests pass, or that
865 the change is a zero-impact addition (no unit tests fail at the minimum)
866
867 ### Do not break existing code
868
869 * keep working code working **at all times**. find ways to ensure that
870 this is the case. examples include writing alternative classes that
871 replace existing functionality and adding runtime options to select
872 between old and new code.
873
874 ### Small commits with relevant commit message
875
876 * commit no more than around 5 to 10 lines at a time, with a CLEAR message
877 (no "added this" or "changed that").
878 * if as you write you find that the commit message involves a *list* of
879 changes or the word "and", then STOP. do not proceed: it is a "red flag"
880 that the commit has not been properly broken down into separate-purpose
881 commits. ask for advice on-list on how to proceed.
882
883 ### Exceptions to small commit: atomic single purpose commit
884
885 * if it is essential to commit large amounts of code, ensure that it
886 is **not** in use **anywhere** by any other code. then make a *small*
887 (single purpose) followup commit which actually puts that code into use.
888
889 This last rule is kinda flexible, because if you add the code *and* add
890 the unit test *and* added it into the main code *and* ran all relevant
891 unit tests on all cascade-impacted areas by that change, that's perfectly
892 fine too. however if it is the end of a day, and you need to stop and
893 do not have time to run the necessary unit tests, do *not* commit the
894 change which integrates untested code: just commit the new code (only)
895 and follow up the next day *after* running the full relevant unit tests.
896
897 ### Why such strict rules?
898
899 The reason for all the above is because python is a dynamically typed
900 language. make one tiny change at the base level of the class hierarchy
901 and the effect may be disastrous.
902
903 It is therefore worth reiterating: make absolutely certain that you *only*
904 commit working code or zero-impact code.
905
906 Therefore, if you are absolutely certain that a new addition (new file,
907 new class, new function) is not going to have any side-effects, committing
908 it (a large amount of code) is perfectly fine.
909
910 As a general rule, however, do not use this an an excuse to write code
911 first then write unit tests as an afterthought. write *less* code *in
912 conjunction* with its (more basic) unit tests, instead. then, folliw up with
913 additions and improvements.
914
915 The reason for separating out commits to single purpose only becomes
916 obvious (and regretted if not followed) when, months later, a mistake
917 has to be tracked down and reverted. if the commit does not have an
918 easy-to-find message, it cannot even be located, and once found, if the
919 commit confuses several unrelated changes, not only the diff is larger
920 than it should be, the reversion process becomes extremely painful.
921
922 ### PHP-style python format-strings
923
924 As the name suggests, "PHP-style" is not given as a compliment.
925 Format-strings - `f"{variable} {pythoncodefragment}" are a nightmare
926 to read. The lesson from PHP, Zope and Plone: when code is embedded,
927 the purpose of the formatting - the separation of the format from
928 the data to be placed in it - is merged, and consequently become
929 unreadable.
930
931 By contrast, let us imagine a situation where 12 variables need to
932 be inserted into a string, four of which are the same variablename:
933
934 x = "%s %s %s %s %s %s %s %s %s %s %s %s" % (var1, var2, var3,
935 var3, var4, var2,
936 var1, var9, var1,
937 var3, var4, var1)
938
939 This is just as unreadable, but for different reasons. Here it *is*
940 useful to do this as:
941
942 x = f"{var1} {var2} {var3}" \
943 ...
944 f"{var3} {var4} {var1}"
945
946 As a general rule, though, format-specifiers should be strongly
947 avoided, given that they mix even variable-names directly inside
948 a string.
949
950 This additionally gives text editors (and online web syntax
951 highlighters) the opportunity to colour syntax-highlight the
952 ASCII string (the format) from the variables to be inserted *into*
953 that format. gitweb for example (used by this project) cannot
954 highlight string-formatted code.
955
956 It turns out that colour is processed by the **opposite** hemisphere
957 of the brain from written language. Thus, colour-syntax-highlighting
958 is not just a "nice-to-have", it's **vital** for easier and faster
959 identification of context and an aid to rapid understanding.
960
961 Anything that interferes with that - such as python format-strings -
962 has to take a back seat, regardless of its perceived benefits.
963
964 **If you absolutely must** use python-format-strings, **only** do
965 so by restricting to variables. Create temporary variables if you
966 have to.
967
968 y = '/'.join(a_list)
969 x = f"{y}"
970
971 ### PEP8 format
972
973 * all code needs to conform to pep8. use either pep8checker or better
974 run autopep8. however whenever committing whitespace changes, *make a
975 separate commit* with a commit message "whitespace" or "autopep8 cleanup".
976 * pep8 REQUIRES no more than 80 chars per line. this is non-negotiable. if
977 you think you need greater than 80 chars, it *fundamentally* indicates
978 poor code design. split the code down further into smaller classes
979 and functions.
980
981 ### Docstring checker
982
983 * TBD there is a docstring checker. at the minimum make sure to have
984 an SPD license header, module header docstring, class docstring and
985 function docstrings on at least non-obvious functions.
986
987 ### Clear code commenting and docstrings
988
989 * make liberal but not excessive use of comments. describe a group of
990 lines of code, with terse but useful comments describing the purpose,
991 documenting any side-effects, and anything that could trip you or other
992 developers up. unusual coding techniques should *definitely* contain
993 a warning.
994
995 ### Only one class per module (ish)
996
997 * unless they are very closely related, only have one module (one class)
998 per file. a file only 25 lines long including imports and docstrings
999 is perfectly fine however don't force yourself. again, if unsure,
1000 ask on-list.
1001
1002 ### File and Directory hierarchy
1003
1004 * *keep files short and simple*. see below as to why
1005 * create a decent directory hierarchy but do not go mad. ask for advice
1006 if unsure
1007
1008 ### No import star!
1009
1010 * please do not use "from module import \*". it is extremely bad practice,
1011 causes unnecessary resource utilisation, makes code readability and
1012 tracking extremely difficult, and results in unintended side-effects.
1013
1014 Example: often you want to find the code from which a class was imported.
1015 nirmally you go to the top of the file, check the imports, and you know
1016 exactly which file has the class because of the import path. by using
1017 wildcards, you have absolutely *no clue* which wildcard imported which
1018 class or classes.
1019
1020 Example: sometimes you may accidentally have duplicate code maintained
1021 in two or more places. editing one of them you find, puzzlingly, that
1022 the code behaves in some files with the old behaviour, but in others it
1023 works. after a massive amount of investigation, you find that the working
1024 files happen to have a wildcard import of the newer accidental duplicate
1025 class **after** the wildcard import of the older class with exactly the
1026 same name. if you had used explicit imports, you would have spotted
1027 the double import of the class from two separate locations, immediately.
1028
1029 Really. don't. use. wildcards.
1030
1031 More about this here:
1032
1033 * <https://www.asmeurer.com/removestar/>
1034 * <https://rules.sonarsource.com/python/RSPEC-2208>
1035
1036 ### Keep file and variables short but clear
1037
1038 * try to keep both filenames and variable names short but not ridiculously
1039 obtuse. an interesting compromise on imports is "from ridiculousfilename
1040 import longsillyname as lsn", and to assign variables as well: "comb =
1041 m.d.comb" followed by multiple "comb += nmigen_stmt" lines is a good trick
1042 that can reduce code indentation by 6 characters without reducing clarity.
1043
1044 Additionally, use comments just above an obtuse variable in order to
1045 help explain what it is for. In combination with keeping the the module
1046 itself short, other readers will not need to scroll back several pages
1047 in order to understand the code.
1048
1049 Yes it is tempting to actually use the variables as
1050 self-explanatory-comments and generally this can be extremely good
1051 practice. the problem comes when the variable is so long that a function
1052 with several parameters csn no longer fit on a single line, and takes
1053 up five to ten lines rather than one or two. at that point, the length
1054 of the code is adversely affected and thus so is readability by forcing
1055 readers to scroll through reams of pages.
1056
1057 It is a tricky balance: basically use your common sense, or just ask
1058 someone else, "can you understand this code?"
1059
1060 ### Reasons for code structure
1061
1062 Regarding code structure: we decided to go with small modules that are
1063 both easy to analyse, as well as fit onto a single page and be readable
1064 when displayed as a visual graph on a full UHD monitor. this is done
1065 as follows:
1066
1067 * using the capability of nmigen (TODO crossref to example) output the
1068 module to a yosys ilang (.il) file
1069 * in a separate terminal window, run yosys
1070 * at the yosys prompt type "read_ilang modulename.il"
1071 * type "show top" and a graphviz window should appear. note that typing
1072 show, then space, then pressing the tab key twice will give a full list
1073 of submodules (one of which will be "top")
1074
1075 You can now fullsize the graphviz window and scroll around. if it looks
1076 reasonably obvious at 100% zoom, i.e the connections can be clearly
1077 related in your mind back to the actual code (by matching the graph names
1078 against signals and modules in the original nmigen code) and the words are
1079 not tiny when zoomed out, and connections are not total incomprehensible
1080 spaghetti, then congratulations, you have well-designed code. If not,
1081 then this indicates a need to split the code further into submodules
1082 and do a bit more work.
1083
1084 The reasons for doing a proper modularisation job are several-fold:
1085
1086 * firstly, we will not be doing a full automated layout-and-hope
1087 using alliance/coriolis2, we will be doing leaf-node thru tree node
1088 half-automated half-manual layout, finally getting to the floorplan,
1089 then revising and iteratively adjusting.
1090 * secondly, examining modules at the gate level (or close to it) is just
1091 good practice. poor design creeps in by *not* knowing what the tools
1092 are actually doing (word to experienced developers: yes, we know that
1093 the yosys graph != final netlist).
1094 * thirdly, unit testing, particularly formal proofs, is far easier on
1095 small sections of code, and complete in a reasonable time.
1096
1097 ## Special warning / alert to vim users!
1098
1099 Some time around the beginning of 2019 some bright spark decided that
1100 an "auto-recommend-completion-of-stuff" option would be a nice, shiny
1101 idea to enable by default from that point onwards.
1102
1103 This incredibly annoying "feature" results in tabs (or spaces) being
1104 inserted "on your behalf" when you press return on one line, for your
1105 "convenience" of not needing to type lots of spaces/tabs just to get
1106 to the same indentation level.
1107
1108 Of course, this "feature", if you press return on one line in edit
1109 mode and then press "escape", leaves a bundle-of-joy extraneous
1110 whitespace **exactly** where you don't want it, and didn't ask for it,
1111 pooped all over your file.
1112
1113 Therefore, *please*: **before** running "git commit", get into the
1114 habit of always running "git diff", and at the very minimum
1115 speed-skim the entire diff, looking for tell-tale "red squares"
1116 (these show up under bash diff colour-syntax-highlighting) that
1117 inform you that, without your knowledge or consent, vim has
1118 "helpfully" inserted extraneous whitespace.
1119
1120 Remove them **before** git committing because they are not part
1121 of the actual desired code-modifications, and committing them
1122 is a major and constant distraction for reviewers about actual
1123 important things like "the code that actually *usefully* was
1124 modified for that commit"
1125
1126 This has the useful side-effect of ensuring that, right before
1127 the commit, you've got the actual diff right in front of you
1128 in the xterm window, on which you can base the "commit message".
1129
1130 ## Unit tests
1131
1132 For further reading, see the wikipedia page on
1133 [Test-driven Development](https://en.wikipedia.org/wiki/Test-driven_development)
1134
1135 This deserves its own special section. It is extremely important to
1136 appreciate that without unit tests, python projects are simply unviable.
1137 Python itself has over 25,000 individual tests.
1138
1139 This can be quite overwhelming to a beginner developer, especially one
1140 used to writing scripts of only 100 lines in length.
1141
1142 Thanks to Samuel Falvo we learned that writing unit tests as a formal
1143 proof is not only shorter, it's also far more readable and also, if
1144 written properly, provides 100% coverage of corner-cases that would
1145 otherwise be overlooked or require tens to hundreds of thousands of
1146 tests to be run.
1147
1148 No this is not a joke or even remotely hypothetical, this is an actual
1149 real-world problem.
1150
1151 The ieee754fpu requires several hundreds of thousands of tests to be
1152 run (currently needing several days to run them all), and even then we
1153 cannot be absolutely certain that all possible combinations of input have
1154 been tested. With 2^128 permutations to try with 2 64 bit FP numbers
1155 it is simply impossible to even try.
1156
1157 This is where formal proofs come into play.
1158
1159 Samuel illustrated to us that "ordinary" unit tests can then be written
1160 to *augment* the formal ones, serving the purpose of illustrating how
1161 to use the module, more than anything.
1162
1163 However it is appreciated that writing formal proofs is a bit of a
1164 black art. This is where team collaboration particularly kicks in,
1165 so if you need help, ask on the mailing list.
1166
1167 ## Don't comment out unit tests: add them first (as failures) and fix code later
1168
1169 Unit tests serve an additional critical purpose of keeping track of code
1170 that needs to be written. In many cases, you write the unit test *first*,
1171 despite knowing full well that the code doesn't even exist or is completely
1172 broken. The unit test then serves as a constant and important reminder
1173 to actually fix (or write) the code.
1174
1175 Therefore, *do not* comment out unit tests just because they "don't work".
1176 If you absolutely must stop a unit test from running, **do not delete it**.
1177 Simply mark it with an appropriate
1178 ["skip" decorator](https://docs.python.org/3/library/unittest.html#skipping-tests-and-expected-failures),
1179 preferably with a link to a URL in the [bugtracker](https://bugs.libre-soc.org/)
1180 with further details as to why the unit test should not be run.
1181
1182 # Task management guidelines
1183
1184 1. Create the task in appropriate "Product" section with appropriate
1185 "Component" section. Most code tasks generally use "Libre-SOC's
1186 first SOC".
1187 2. Fill in "Depends on" and "Blocks" section whenever appropriate.
1188 Also add as many related ("See Also") links to other bugreports
1189 as possible. bugreports are never isolated.
1190 3. Choose the correct task for a budget allocation. Usually the parent
1191 task is used.
1192 4. Choose the correct NLnet milestone. The best practice is to check
1193 the parent task for a correct milestone.
1194 5. Assign the budget to the task in `"USER=SUM"` form, where "USER"
1195 corresponds to your username and "SUM" corresponds to the actual
1196 budget in EUR. There may be multiple users.
1197 6. When the task is completed, you can begin writing an RFP.
1198 **DO NOT submit it without explicit authorisation and review**.
1199 Leave out your bank and personal address details if you prefer
1200 when sending to the Team Manager for review.
1201 7. Once the RFP is written, notify the Team Manager and obtain their
1202 explicit approval to send it.
1203 8. Once approval is received and the RFP sent, update the `"USER=SUM"`
1204 field to include the submitted date:
1205 `"USER={amount=SUM, submitted=SDATE}"`. The SDATE is entered in
1206 `YYYY-MM-DD` form.
1207 9. Once the task is paid, again notify the Team Manager (IRC is fine),
1208 and update `"USER={amount=SUM, submitted=SDATE}"`
1209 to `"USER={amount=SUM, submitted=SDATE, paid=PDATE}"`. The PDATE is
1210 entered in `YYYY-MM-DD` form, too.
1211
1212 Throughout all of this you should be using budget-sync to check the
1213 database consistency
1214 <https://git.libre-soc.org/?p=utils.git;a=blob;f=README.txt;hb=HEAD>
1215
1216 [[!img bugzilla_RFP_fields.jpg size=640x ]]
1217
1218 # TODO Tutorials
1219
1220 Find appropriate tutorials for nmigen and yosys, as well as symbiyosys.
1221
1222 * Robert Baruch's nmigen tutorials look really good:
1223 <https://github.com/RobertBaruch/nmigen-tutorial>
1224 * Although a verilog example this is very useful to do
1225 <https://symbiyosys.readthedocs.io/en/latest/quickstart.html#first-step-a-simple-bmc-example>
1226 * This tutorial looks pretty good and will get you started
1227 <https://web.archive.org/web/20210123052724/http://blog.lambdaconcept.com/doku.php?id=nmigen:nmigen_install>
1228 and walks not just through simulation, it takes you through using
1229 gtkwave as well.
1230 * There exist several nmigen examples which are also executable
1231 <https://gitlab.com/nmigen/nmigen/tree/master/examples/> exactly as
1232 described in the above tutorial (python3 filename.py -h)
1233 * More nmigen tutorials at [[learning_nmigen]]