Merge pull request #718 from whitequark/gate2lut
[yosys.git] / Makefile
1
2 CONFIG := clang
3 # CONFIG := gcc
4 # CONFIG := gcc-4.8
5 # CONFIG := emcc
6 # CONFIG := mxe
7 # CONFIG := msys2
8 # CONFIG := msys2-64
9
10 # features (the more the better)
11 ENABLE_TCL := 1
12 ENABLE_ABC := 1
13 ENABLE_PLUGINS := 1
14 ENABLE_READLINE := 1
15 ENABLE_EDITLINE := 0
16 ENABLE_VERIFIC := 0
17 ENABLE_COVER := 1
18 ENABLE_LIBYOSYS := 0
19 ENABLE_PROTOBUF := 0
20
21 # other configuration flags
22 ENABLE_GCOV := 0
23 ENABLE_GPROF := 0
24 ENABLE_DEBUG := 0
25 ENABLE_NDEBUG := 0
26 LINK_CURSES := 0
27 LINK_TERMCAP := 0
28 LINK_ABC := 0
29 # Needed for environments that don't have proper thread support (i.e. emscripten)
30 DISABLE_ABC_THREADS := 0
31
32 # clang sanitizers
33 SANITIZER =
34 # SANITIZER = address
35 # SANITIZER = memory
36 # SANITIZER = undefined
37 # SANITIZER = cfi
38
39
40 OS := $(shell uname -s)
41 PREFIX ?= /usr/local
42 INSTALL_SUDO :=
43
44 BINDIR := $(PREFIX)/bin
45 LIBDIR := $(PREFIX)/lib
46 DATDIR := $(PREFIX)/share/yosys
47
48 EXE =
49 OBJS =
50 GENFILES =
51 EXTRA_OBJS =
52 EXTRA_TARGETS =
53 TARGETS = yosys$(EXE) yosys-config
54
55 PRETTY = 1
56 SMALL = 0
57
58 # Unit test
59 UNITESTPATH := tests/unit
60
61 all: top-all
62
63 YOSYS_SRC := $(dir $(firstword $(MAKEFILE_LIST)))
64 VPATH := $(YOSYS_SRC)
65
66 CXXFLAGS := $(CXXFLAGS) -Wall -Wextra -ggdb -I. -I"$(YOSYS_SRC)" -MD -D_YOSYS_ -fPIC -I$(PREFIX)/include
67 LDFLAGS := $(LDFLAGS) -L$(LIBDIR)
68 LDLIBS := $(LDLIBS) -lstdc++ -lm
69 PLUGIN_LDFLAGS :=
70
71 PKG_CONFIG ?= pkg-config
72 SED ?= sed
73 BISON ?= bison
74 STRIP ?= strip
75
76 ifeq ($(OS), Darwin)
77 PLUGIN_LDFLAGS += -undefined dynamic_lookup
78
79 # homebrew search paths
80 ifneq ($(shell which brew),)
81 BREW_PREFIX := $(shell brew --prefix)/opt
82 CXXFLAGS += -I$(BREW_PREFIX)/readline/include
83 LDFLAGS += -L$(BREW_PREFIX)/readline/lib
84 PKG_CONFIG_PATH := $(BREW_PREFIX)/libffi/lib/pkgconfig:$(PKG_CONFIG_PATH)
85 PKG_CONFIG_PATH := $(BREW_PREFIX)/tcl-tk/lib/pkgconfig:$(PKG_CONFIG_PATH)
86 export PATH := $(BREW_PREFIX)/bison/bin:$(BREW_PREFIX)/gettext/bin:$(BREW_PREFIX)/flex/bin:$(PATH)
87
88 # macports search paths
89 else ifneq ($(shell which port),)
90 PORT_PREFIX := $(patsubst %/bin/port,%,$(shell which port))
91 CXXFLAGS += -I$(PORT_PREFIX)/include
92 LDFLAGS += -L$(PORT_PREFIX)/lib
93 PKG_CONFIG_PATH := $(PORT_PREFIX)/lib/pkgconfig:$(PKG_CONFIG_PATH)
94 export PATH := $(PORT_PREFIX)/bin:$(PATH)
95 endif
96
97 else
98 LDFLAGS += -rdynamic
99 LDLIBS += -lrt
100 endif
101
102 YOSYS_VER := 0.8+$(shell cd $(YOSYS_SRC) && test -e .git && { git log --author=clifford@clifford.at --oneline 4d4665b.. | wc -l; })
103 GIT_REV := $(shell cd $(YOSYS_SRC) && git rev-parse --short HEAD 2> /dev/null || echo UNKNOWN)
104 OBJS = kernel/version_$(GIT_REV).o
105
106 # set 'ABCREV = default' to use abc/ as it is
107 #
108 # Note: If you do ABC development, make sure that 'abc' in this directory
109 # is just a symlink to your actual ABC working directory, as 'make mrproper'
110 # will remove the 'abc' directory and you do not want to accidentally
111 # delete your work on ABC..
112 ABCREV = 2ddc57d
113 ABCPULL = 1
114 ABCURL ?= https://github.com/berkeley-abc/abc
115 ABCMKARGS = CC="$(CXX)" CXX="$(CXX)" ABC_USE_LIBSTDCXX=1
116
117 # set ABCEXTERNAL = <abc-command> to use an external ABC instance
118 # Note: The in-tree ABC (yosys-abc) will not be installed when ABCEXTERNAL is set.
119 ABCEXTERNAL ?=
120
121 define newline
122
123
124 endef
125
126 ifneq ($(wildcard Makefile.conf),)
127 $(info $(subst $$--$$,$(newline),$(shell sed 's,^,[Makefile.conf] ,; s,$$,$$--$$,;' < Makefile.conf | tr -d '\n' | sed 's,\$$--\$$$$,,')))
128 include Makefile.conf
129 endif
130
131 ifeq ($(CONFIG),clang)
132 CXX = clang
133 LD = clang++
134 CXXFLAGS += -std=c++11 -Os
135 ABCMKARGS += ARCHFLAGS="-DABC_USE_STDINT_H"
136
137 ifneq ($(SANITIZER),)
138 $(info [Clang Sanitizer] $(SANITIZER))
139 CXXFLAGS += -g -O1 -fno-omit-frame-pointer -fno-optimize-sibling-calls -fsanitize=$(SANITIZER)
140 LDFLAGS += -g -fsanitize=$(SANITIZER)
141 ifeq ($(SANITIZER),address)
142 ENABLE_COVER := 0
143 endif
144 ifeq ($(SANITIZER),memory)
145 CXXFLAGS += -fPIE -fsanitize-memory-track-origins
146 LDFLAGS += -fPIE -fsanitize-memory-track-origins
147 endif
148 ifeq ($(SANITIZER),cfi)
149 CXXFLAGS += -flto
150 LDFLAGS += -flto
151 endif
152 endif
153
154 else ifeq ($(CONFIG),gcc)
155 CXX = gcc
156 LD = gcc
157 CXXFLAGS += -std=c++11 -Os
158 ABCMKARGS += ARCHFLAGS="-DABC_USE_STDINT_H"
159
160 else ifeq ($(CONFIG),gcc-static)
161 LD = $(CXX)
162 LDFLAGS := $(filter-out -rdynamic,$(LDFLAGS)) -static
163 LDLIBS := $(filter-out -lrt,$(LDLIBS))
164 CXXFLAGS := $(filter-out -fPIC,$(CXXFLAGS))
165 CXXFLAGS += -std=c++11 -Os
166 ABCMKARGS = CC="$(CC)" CXX="$(CXX)" LD="$(LD)" ABC_USE_LIBSTDCXX=1 LIBS="-lm -lpthread -static" OPTFLAGS="-O" \
167 ARCHFLAGS="-DABC_USE_STDINT_H -DABC_NO_DYNAMIC_LINKING=1 -Wno-unused-but-set-variable $(ARCHFLAGS)" ABC_USE_NO_READLINE=1
168 ifeq ($(DISABLE_ABC_THREADS),1)
169 ABCMKARGS += "ABC_USE_NO_PTHREADS=1"
170 endif
171
172 else ifeq ($(CONFIG),gcc-4.8)
173 CXX = gcc-4.8
174 LD = gcc-4.8
175 CXXFLAGS += -std=c++11 -Os
176 ABCMKARGS += ARCHFLAGS="-DABC_USE_STDINT_H"
177
178 else ifeq ($(CONFIG),cygwin)
179 CXX = gcc
180 LD = gcc
181 CXXFLAGS += -std=gnu++11 -Os
182 ABCMKARGS += ARCHFLAGS="-DABC_USE_STDINT_H"
183
184 else ifeq ($(CONFIG),emcc)
185 CXX = emcc
186 LD = emcc
187 CXXFLAGS := -std=c++11 $(filter-out -fPIC -ggdb,$(CXXFLAGS))
188 ABCMKARGS += ARCHFLAGS="-DABC_USE_STDINT_H -DABC_MEMALIGN=8"
189 EMCCFLAGS := -Os -Wno-warn-absolute-paths
190 EMCCFLAGS += --memory-init-file 0 --embed-file share -s NO_EXIT_RUNTIME=1
191 EMCCFLAGS += -s EXPORTED_FUNCTIONS="['_main','_run','_prompt','_errmsg']"
192 EMCCFLAGS += -s TOTAL_MEMORY=128*1024*1024
193 # https://github.com/kripken/emscripten/blob/master/src/settings.js
194 CXXFLAGS += $(EMCCFLAGS)
195 LDFLAGS += $(EMCCFLAGS)
196 LDLIBS =
197 EXE = .js
198
199 TARGETS := $(filter-out yosys-config,$(TARGETS))
200 EXTRA_TARGETS += yosysjs-$(YOSYS_VER).zip
201
202 ifeq ($(ENABLE_ABC),1)
203 LINK_ABC := 1
204 DISABLE_ABC_THREADS := 1
205 endif
206
207 viz.js:
208 wget -O viz.js.part https://github.com/mdaines/viz.js/releases/download/0.0.3/viz.js
209 mv viz.js.part viz.js
210
211 yosysjs-$(YOSYS_VER).zip: yosys.js viz.js misc/yosysjs/*
212 rm -rf yosysjs-$(YOSYS_VER) yosysjs-$(YOSYS_VER).zip
213 mkdir -p yosysjs-$(YOSYS_VER)
214 cp viz.js misc/yosysjs/* yosys.js yosysjs-$(YOSYS_VER)/
215 zip -r yosysjs-$(YOSYS_VER).zip yosysjs-$(YOSYS_VER)
216
217 yosys.html: misc/yosys.html
218 $(P) cp misc/yosys.html yosys.html
219
220 else ifeq ($(CONFIG),mxe)
221 PKG_CONFIG = /usr/local/src/mxe/usr/bin/i686-w64-mingw32.static-pkg-config
222 CXX = /usr/local/src/mxe/usr/bin/i686-w64-mingw32.static-g++
223 LD = /usr/local/src/mxe/usr/bin/i686-w64-mingw32.static-g++
224 CXXFLAGS += -std=c++11 -Os -D_POSIX_SOURCE -DYOSYS_MXE_HACKS -Wno-attributes
225 CXXFLAGS := $(filter-out -fPIC,$(CXXFLAGS))
226 LDFLAGS := $(filter-out -rdynamic,$(LDFLAGS)) -s
227 LDLIBS := $(filter-out -lrt,$(LDLIBS))
228 ABCMKARGS += ARCHFLAGS="-DWIN32_NO_DLL -DHAVE_STRUCT_TIMESPEC -fpermissive -w"
229 ABCMKARGS += LIBS="lib/x86/pthreadVC2.lib -s" ABC_USE_NO_READLINE=1 CC="/usr/local/src/mxe/usr/bin/i686-w64-mingw32.static-gcc"
230 EXE = .exe
231
232 else ifeq ($(CONFIG),msys2)
233 CXX = i686-w64-mingw32-g++
234 LD = i686-w64-mingw32-g++
235 CXXFLAGS += -std=c++11 -Os -D_POSIX_SOURCE -DYOSYS_WIN32_UNIX_DIR
236 CXXFLAGS := $(filter-out -fPIC,$(CXXFLAGS))
237 LDFLAGS := $(filter-out -rdynamic,$(LDFLAGS)) -s
238 LDLIBS := $(filter-out -lrt,$(LDLIBS))
239 ABCMKARGS += ARCHFLAGS="-DABC_USE_STDINT_H -DWIN32_NO_DLL -DHAVE_STRUCT_TIMESPEC -fpermissive -w"
240 ABCMKARGS += LIBS="-lpthread -s" ABC_USE_NO_READLINE=0 CC="i686-w64-mingw32-gcc" CXX="$(CXX)"
241 EXE = .exe
242
243 else ifeq ($(CONFIG),msys2-64)
244 CXX = x86_64-w64-mingw32-g++
245 LD = x86_64-w64-mingw32-g++
246 CXXFLAGS += -std=c++11 -Os -D_POSIX_SOURCE -DYOSYS_WIN32_UNIX_DIR
247 CXXFLAGS := $(filter-out -fPIC,$(CXXFLAGS))
248 LDFLAGS := $(filter-out -rdynamic,$(LDFLAGS)) -s
249 LDLIBS := $(filter-out -lrt,$(LDLIBS))
250 ABCMKARGS += ARCHFLAGS="-DABC_USE_STDINT_H -DWIN32_NO_DLL -DHAVE_STRUCT_TIMESPEC -fpermissive -w"
251 ABCMKARGS += LIBS="-lpthread -s" ABC_USE_NO_READLINE=0 CC="x86_64-w64-mingw32-gcc" CXX="$(CXX)"
252 EXE = .exe
253
254 else ifneq ($(CONFIG),none)
255 $(error Invalid CONFIG setting '$(CONFIG)'. Valid values: clang, gcc, gcc-4.8, emcc, mxe, msys2, msys2-64)
256 endif
257
258 ifeq ($(ENABLE_LIBYOSYS),1)
259 TARGETS += libyosys.so
260 endif
261
262 ifeq ($(ENABLE_READLINE),1)
263 CXXFLAGS += -DYOSYS_ENABLE_READLINE
264 ifeq ($(OS), FreeBSD)
265 CXXFLAGS += -I/usr/local/include
266 endif
267 LDLIBS += -lreadline
268 ifeq ($(LINK_CURSES),1)
269 LDLIBS += -lcurses
270 ABCMKARGS += "ABC_READLINE_LIBRARIES=-lcurses -lreadline"
271 endif
272 ifeq ($(LINK_TERMCAP),1)
273 LDLIBS += -ltermcap
274 ABCMKARGS += "ABC_READLINE_LIBRARIES=-lreadline -ltermcap"
275 endif
276 ifeq ($(CONFIG),mxe)
277 LDLIBS += -ltermcap
278 endif
279 else
280 ifeq ($(ENABLE_EDITLINE),1)
281 CXXFLAGS += -DYOSYS_ENABLE_EDITLINE
282 LDLIBS += -ledit -ltinfo -lbsd
283 else
284 ABCMKARGS += "ABC_USE_NO_READLINE=1"
285 endif
286 endif
287
288 ifeq ($(DISABLE_ABC_THREADS),1)
289 ABCMKARGS += "ABC_USE_NO_PTHREADS=1"
290 endif
291
292 ifeq ($(ENABLE_PLUGINS),1)
293 CXXFLAGS += $(shell PKG_CONFIG_PATH=$(PKG_CONFIG_PATH) $(PKG_CONFIG) --silence-errors --cflags libffi) -DYOSYS_ENABLE_PLUGINS
294 LDLIBS += $(shell PKG_CONFIG_PATH=$(PKG_CONFIG_PATH) $(PKG_CONFIG) --silence-errors --libs libffi || echo -lffi)
295 ifneq ($(OS), FreeBSD)
296 LDLIBS += -ldl
297 endif
298 endif
299
300 ifeq ($(ENABLE_TCL),1)
301 TCL_VERSION ?= tcl$(shell bash -c "tclsh <(echo 'puts [info tclversion]')")
302 ifeq ($(OS), FreeBSD)
303 TCL_INCLUDE ?= /usr/local/include/$(TCL_VERSION)
304 else
305 TCL_INCLUDE ?= /usr/include/$(TCL_VERSION)
306 endif
307
308 ifeq ($(CONFIG),mxe)
309 CXXFLAGS += -DYOSYS_ENABLE_TCL
310 LDLIBS += -ltcl86 -lwsock32 -lws2_32 -lnetapi32 -lz
311 else
312 CXXFLAGS += $(shell PKG_CONFIG_PATH=$(PKG_CONFIG_PATH) $(PKG_CONFIG) --silence-errors --cflags tcl || echo -I$(TCL_INCLUDE)) -DYOSYS_ENABLE_TCL
313 ifeq ($(OS), FreeBSD)
314 # FreeBSD uses tcl8.6, but lib is named "libtcl86"
315 LDLIBS += $(shell PKG_CONFIG_PATH=$(PKG_CONFIG_PATH) $(PKG_CONFIG) --silence-errors --libs tcl || echo -l$(TCL_VERSION) | tr -d '.')
316 else
317 LDLIBS += $(shell PKG_CONFIG_PATH=$(PKG_CONFIG_PATH) $(PKG_CONFIG) --silence-errors --libs tcl || echo -l$(TCL_VERSION))
318 endif
319 endif
320 endif
321
322 ifeq ($(ENABLE_GCOV),1)
323 CXXFLAGS += --coverage
324 LDFLAGS += --coverage
325 endif
326
327 ifeq ($(ENABLE_GPROF),1)
328 CXXFLAGS += -pg
329 LDFLAGS += -pg
330 endif
331
332 ifeq ($(ENABLE_NDEBUG),1)
333 CXXFLAGS := -O3 -DNDEBUG $(filter-out -Os -ggdb,$(CXXFLAGS))
334 endif
335
336 ifeq ($(ENABLE_DEBUG),1)
337 ifeq ($(CONFIG),clang)
338 CXXFLAGS := -O0 -DDEBUG $(filter-out -Os,$(CXXFLAGS))
339 else
340 CXXFLAGS := -Og -DDEBUG $(filter-out -Os,$(CXXFLAGS))
341 endif
342 endif
343
344 ifeq ($(ENABLE_ABC),1)
345 CXXFLAGS += -DYOSYS_ENABLE_ABC
346 ifeq ($(LINK_ABC),1)
347 CXXFLAGS += -DYOSYS_LINK_ABC
348 ifeq ($(DISABLE_ABC_THREADS),0)
349 LDLIBS += -lpthread
350 endif
351 else
352 ifeq ($(ABCEXTERNAL),)
353 TARGETS += yosys-abc$(EXE)
354 endif
355 endif
356 endif
357
358 ifeq ($(ENABLE_VERIFIC),1)
359 VERIFIC_DIR ?= /usr/local/src/verific_lib_eval
360 VERIFIC_COMPONENTS ?= verilog vhdl database util containers sdf hier_tree
361 CXXFLAGS += $(patsubst %,-I$(VERIFIC_DIR)/%,$(VERIFIC_COMPONENTS)) -DYOSYS_ENABLE_VERIFIC
362 ifeq ($(OS), Darwin)
363 LDLIBS += $(patsubst %,$(VERIFIC_DIR)/%/*-mac.a,$(VERIFIC_COMPONENTS)) -lz
364 else
365 LDLIBS += $(patsubst %,$(VERIFIC_DIR)/%/*-linux.a,$(VERIFIC_COMPONENTS)) -lz
366 endif
367 endif
368
369 ifeq ($(ENABLE_PROTOBUF),1)
370 LDLIBS += $(shell pkg-config --cflags --libs protobuf)
371 endif
372
373 ifeq ($(ENABLE_COVER),1)
374 CXXFLAGS += -DYOSYS_ENABLE_COVER
375 endif
376
377 define add_share_file
378 EXTRA_TARGETS += $(subst //,/,$(1)/$(notdir $(2)))
379 $(subst //,/,$(1)/$(notdir $(2))): $(2)
380 $$(P) mkdir -p $(1)
381 $$(Q) cp "$(YOSYS_SRC)"/$(2) $(subst //,/,$(1)/$(notdir $(2)))
382 endef
383
384 define add_gen_share_file
385 EXTRA_TARGETS += $(subst //,/,$(1)/$(notdir $(2)))
386 $(subst //,/,$(1)/$(notdir $(2))): $(2)
387 $$(P) mkdir -p $(1)
388 $$(Q) cp $(2) $(subst //,/,$(1)/$(notdir $(2)))
389 endef
390
391 define add_include_file
392 $(eval $(call add_share_file,$(dir share/include/$(1)),$(1)))
393 endef
394
395 ifeq ($(PRETTY), 1)
396 P_STATUS = 0
397 P_OFFSET = 0
398 P_UPDATE = $(eval P_STATUS=$(shell echo $(OBJS) yosys$(EXE) | gawk 'BEGIN { RS = " "; I = $(P_STATUS)+0; } $$1 == "$@" && NR > I { I = NR; } END { print I; }'))
399 P_SHOW = [$(shell gawk "BEGIN { N=$(words $(OBJS) yosys$(EXE)); printf \"%3d\", $(P_OFFSET)+90*$(P_STATUS)/N; exit; }")%]
400 P = @echo "$(if $(findstring $@,$(TARGETS) $(EXTRA_TARGETS)),$(eval P_OFFSET = 10))$(call P_UPDATE)$(call P_SHOW) Building $@";
401 Q = @
402 S = -s
403 else
404 P_SHOW = ->
405 P =
406 Q =
407 S =
408 endif
409
410 $(eval $(call add_include_file,kernel/yosys.h))
411 $(eval $(call add_include_file,kernel/hashlib.h))
412 $(eval $(call add_include_file,kernel/log.h))
413 $(eval $(call add_include_file,kernel/rtlil.h))
414 $(eval $(call add_include_file,kernel/register.h))
415 $(eval $(call add_include_file,kernel/celltypes.h))
416 $(eval $(call add_include_file,kernel/celledges.h))
417 $(eval $(call add_include_file,kernel/consteval.h))
418 $(eval $(call add_include_file,kernel/sigtools.h))
419 $(eval $(call add_include_file,kernel/modtools.h))
420 $(eval $(call add_include_file,kernel/macc.h))
421 $(eval $(call add_include_file,kernel/utils.h))
422 $(eval $(call add_include_file,kernel/satgen.h))
423 $(eval $(call add_include_file,libs/ezsat/ezsat.h))
424 $(eval $(call add_include_file,libs/ezsat/ezminisat.h))
425 $(eval $(call add_include_file,libs/sha1/sha1.h))
426 $(eval $(call add_include_file,passes/fsm/fsmdata.h))
427 $(eval $(call add_include_file,frontends/ast/ast.h))
428 $(eval $(call add_include_file,backends/ilang/ilang_backend.h))
429
430 OBJS += kernel/driver.o kernel/register.o kernel/rtlil.o kernel/log.o kernel/calc.o kernel/yosys.o
431 OBJS += kernel/cellaigs.o kernel/celledges.o
432
433 kernel/log.o: CXXFLAGS += -DYOSYS_SRC='"$(YOSYS_SRC)"'
434 kernel/yosys.o: CXXFLAGS += -DYOSYS_DATDIR='"$(DATDIR)"'
435
436 OBJS += libs/bigint/BigIntegerAlgorithms.o libs/bigint/BigInteger.o libs/bigint/BigIntegerUtils.o
437 OBJS += libs/bigint/BigUnsigned.o libs/bigint/BigUnsignedInABase.o
438
439 OBJS += libs/sha1/sha1.o
440
441 ifneq ($(SMALL),1)
442
443 OBJS += libs/subcircuit/subcircuit.o
444
445 OBJS += libs/ezsat/ezsat.o
446 OBJS += libs/ezsat/ezminisat.o
447
448 OBJS += libs/minisat/Options.o
449 OBJS += libs/minisat/SimpSolver.o
450 OBJS += libs/minisat/Solver.o
451 OBJS += libs/minisat/System.o
452
453 include $(YOSYS_SRC)/frontends/*/Makefile.inc
454 include $(YOSYS_SRC)/passes/*/Makefile.inc
455 include $(YOSYS_SRC)/backends/*/Makefile.inc
456 include $(YOSYS_SRC)/techlibs/*/Makefile.inc
457
458 else
459
460 include frontends/verilog/Makefile.inc
461 include frontends/ilang/Makefile.inc
462 include frontends/ast/Makefile.inc
463 include frontends/blif/Makefile.inc
464
465 OBJS += passes/hierarchy/hierarchy.o
466 OBJS += passes/cmds/select.o
467 OBJS += passes/cmds/show.o
468 OBJS += passes/cmds/stat.o
469 OBJS += passes/cmds/cover.o
470 OBJS += passes/cmds/design.o
471 OBJS += passes/cmds/plugin.o
472
473 include passes/proc/Makefile.inc
474 include passes/opt/Makefile.inc
475 include passes/techmap/Makefile.inc
476
477 include backends/verilog/Makefile.inc
478 include backends/ilang/Makefile.inc
479
480 include techlibs/common/Makefile.inc
481
482 endif
483
484 ifeq ($(LINK_ABC),1)
485 OBJS += yosys-libabc.a
486 endif
487
488 top-all: $(TARGETS) $(EXTRA_TARGETS)
489 @echo ""
490 @echo " Build successful."
491 @echo ""
492
493 ifeq ($(CONFIG),emcc)
494 yosys.js: $(filter-out yosysjs-$(YOSYS_VER).zip,$(EXTRA_TARGETS))
495 endif
496
497 yosys$(EXE): $(OBJS)
498 $(P) $(LD) -o yosys$(EXE) $(LDFLAGS) $(OBJS) $(LDLIBS)
499
500 libyosys.so: $(filter-out kernel/driver.o,$(OBJS))
501 $(P) $(LD) -o libyosys.so -shared -Wl,-soname,libyosys.so $(LDFLAGS) $^ $(LDLIBS)
502
503 %.o: %.cc
504 $(Q) mkdir -p $(dir $@)
505 $(P) $(CXX) -o $@ -c $(CPPFLAGS) $(CXXFLAGS) $<
506
507 %.o: %.cpp
508 $(Q) mkdir -p $(dir $@)
509 $(P) $(CXX) -o $@ -c $(CPPFLAGS) $(CXXFLAGS) $<
510
511 YOSYS_VER_STR := Yosys $(YOSYS_VER) (git sha1 $(GIT_REV), $(notdir $(CXX)) $(shell \
512 $(CXX) --version | tr ' ()' '\n' | grep '^[0-9]' | head -n1) $(filter -f% -m% -O% -DNDEBUG,$(CXXFLAGS)))
513
514 kernel/version_$(GIT_REV).cc: $(YOSYS_SRC)/Makefile
515 $(P) rm -f kernel/version_*.o kernel/version_*.d kernel/version_*.cc
516 $(Q) mkdir -p kernel && echo "namespace Yosys { extern const char *yosys_version_str; const char *yosys_version_str=\"$(YOSYS_VER_STR)\"; }" > kernel/version_$(GIT_REV).cc
517
518 ifeq ($(ENABLE_VERIFIC),1)
519 CXXFLAGS_NOVERIFIC = $(foreach v,$(CXXFLAGS),$(if $(findstring $(VERIFIC_DIR),$(v)),,$(v)))
520 LDLIBS_NOVERIFIC = $(foreach v,$(LDLIBS),$(if $(findstring $(VERIFIC_DIR),$(v)),,$(v)))
521 else
522 CXXFLAGS_NOVERIFIC = $(CXXFLAGS)
523 LDLIBS_NOVERIFIC = $(LDLIBS)
524 endif
525
526 yosys-config: misc/yosys-config.in
527 $(P) $(SED) -e 's#@CXXFLAGS@#$(subst -I. -I"$(YOSYS_SRC)",-I"$(DATDIR)/include",$(strip $(CXXFLAGS_NOVERIFIC)))#;' \
528 -e 's#@CXX@#$(strip $(CXX))#;' -e 's#@LDFLAGS@#$(strip $(LDFLAGS) $(PLUGIN_LDFLAGS))#;' -e 's#@LDLIBS@#$(strip $(LDLIBS_NOVERIFIC))#;' \
529 -e 's#@BINDIR@#$(strip $(BINDIR))#;' -e 's#@DATDIR@#$(strip $(DATDIR))#;' < $< > yosys-config
530 $(Q) chmod +x yosys-config
531
532 abc/abc-$(ABCREV)$(EXE) abc/libabc-$(ABCREV).a:
533 $(P)
534 ifneq ($(ABCREV),default)
535 $(Q) if test -d abc/.hg; then \
536 echo 'REEBE: NOP qverpgbel vf n ut jbexvat pbcl! Erzbir nop/ naq er-eha "znxr".' | tr 'A-Za-z' 'N-ZA-Mn-za-m'; false; \
537 fi
538 $(Q) if ( cd abc 2> /dev/null && ! git diff-index --quiet HEAD; ); then \
539 echo 'REEBE: NOP pbagnvaf ybpny zbqvsvpngvbaf! Frg NOPERI=qrsnhyg va Lbflf Znxrsvyr!' | tr 'A-Za-z' 'N-ZA-Mn-za-m'; false; \
540 fi
541 $(Q) if test "`cd abc 2> /dev/null && git rev-parse --short HEAD`" != "$(ABCREV)"; then \
542 test $(ABCPULL) -ne 0 || { echo 'REEBE: NOP abg hc gb qngr naq NOPCHYY frg gb 0 va Znxrsvyr!' | tr 'A-Za-z' 'N-ZA-Mn-za-m'; exit 1; }; \
543 echo "Pulling ABC from $(ABCURL):"; set -x; \
544 test -d abc || git clone $(ABCURL) abc; \
545 cd abc && $(MAKE) DEP= clean && git fetch origin master && git checkout $(ABCREV); \
546 fi
547 endif
548 $(Q) rm -f abc/abc-[0-9a-f]*
549 $(Q) cd abc && $(MAKE) $(S) $(ABCMKARGS) $(if $(filter %.a,$@),PROG="abc-$(ABCREV)",PROG="abc-$(ABCREV)$(EXE)") MSG_PREFIX="$(eval P_OFFSET = 5)$(call P_SHOW)$(eval P_OFFSET = 10) ABC: " $(if $(filter %.a,$@),libabc-$(ABCREV).a)
550
551 ifeq ($(ABCREV),default)
552 .PHONY: abc/abc-$(ABCREV)$(EXE)
553 .PHONY: abc/libabc-$(ABCREV).a
554 endif
555
556 yosys-abc$(EXE): abc/abc-$(ABCREV)$(EXE)
557 $(P) cp abc/abc-$(ABCREV)$(EXE) yosys-abc$(EXE)
558
559 yosys-libabc.a: abc/libabc-$(ABCREV).a
560 $(P) cp abc/libabc-$(ABCREV).a yosys-libabc.a
561
562 ifneq ($(SEED),)
563 SEEDOPT="-S $(SEED)"
564 else
565 SEEDOPT=""
566 endif
567
568 test: $(TARGETS) $(EXTRA_TARGETS)
569 +cd tests/simple && bash run-test.sh $(SEEDOPT)
570 +cd tests/hana && bash run-test.sh $(SEEDOPT)
571 +cd tests/asicworld && bash run-test.sh $(SEEDOPT)
572 +cd tests/realmath && bash run-test.sh $(SEEDOPT)
573 +cd tests/share && bash run-test.sh $(SEEDOPT)
574 +cd tests/fsm && bash run-test.sh $(SEEDOPT)
575 +cd tests/techmap && bash run-test.sh
576 +cd tests/memories && bash run-test.sh $(SEEDOPT)
577 +cd tests/bram && bash run-test.sh $(SEEDOPT)
578 +cd tests/various && bash run-test.sh
579 +cd tests/sat && bash run-test.sh
580 +cd tests/svinterfaces && bash run-test.sh $(SEEDOPT)
581 +cd tests/opt && bash run-test.sh
582 @echo ""
583 @echo " Passed \"make test\"."
584 @echo ""
585
586 VALGRIND ?= valgrind --error-exitcode=1 --leak-check=full --show-reachable=yes --errors-for-leak-kinds=all
587
588 vgtest: $(TARGETS) $(EXTRA_TARGETS)
589 $(VALGRIND) ./yosys -p 'setattr -mod -unset top; synth' $$( ls tests/simple/*.v | grep -v repwhile.v )
590 @echo ""
591 @echo " Passed \"make vgtest\"."
592 @echo ""
593
594 vloghtb: $(TARGETS) $(EXTRA_TARGETS)
595 +cd tests/vloghtb && bash run-test.sh
596 @echo ""
597 @echo " Passed \"make vloghtb\"."
598 @echo ""
599
600 ystests: $(TARGETS) $(EXTRA_TARGETS)
601 rm -rf tests/ystests
602 git clone https://github.com/YosysHQ/yosys-tests.git tests/ystests
603 +$(MAKE) PATH="$$PWD:$$PATH" -C tests/ystests
604 @echo ""
605 @echo " Finished \"make ystests\"."
606 @echo ""
607
608 # Unit test
609 unit-test: libyosys.so
610 @$(MAKE) -C $(UNITESTPATH) CXX="$(CXX)" CPPFLAGS="$(CPPFLAGS)" \
611 CXXFLAGS="$(CXXFLAGS)" LDLIBS="$(LDLIBS)" ROOTPATH="$(CURDIR)"
612
613 clean-unit-test:
614 @$(MAKE) -C $(UNITESTPATH) clean
615
616 install: $(TARGETS) $(EXTRA_TARGETS)
617 $(INSTALL_SUDO) mkdir -p $(DESTDIR)$(BINDIR)
618 $(INSTALL_SUDO) cp $(TARGETS) $(DESTDIR)$(BINDIR)
619 ifneq ($(filter yosys,$(TARGETS)),)
620 $(INSTALL_SUDO) $(STRIP) -S $(DESTDIR)$(BINDIR)/yosys
621 endif
622 ifneq ($(filter yosys-abc,$(TARGETS)),)
623 $(INSTALL_SUDO) $(STRIP) $(DESTDIR)$(BINDIR)/yosys-abc
624 endif
625 ifneq ($(filter yosys-filterlib,$(TARGETS)),)
626 $(INSTALL_SUDO) $(STRIP) $(DESTDIR)$(BINDIR)/yosys-filterlib
627 endif
628 $(INSTALL_SUDO) mkdir -p $(DESTDIR)$(DATDIR)
629 $(INSTALL_SUDO) cp -r share/. $(DESTDIR)$(DATDIR)/.
630 ifeq ($(ENABLE_LIBYOSYS),1)
631 $(INSTALL_SUDO) cp libyosys.so $(DESTDIR)$(LIBDIR)
632 $(INSTALL_SUDO) $(STRIP) -S $(DESTDIR)$(LIBDIR)/libyosys.so
633 $(INSTALL_SUDO) ldconfig
634 endif
635
636 uninstall:
637 $(INSTALL_SUDO) rm -vf $(addprefix $(DESTDIR)$(BINDIR)/,$(notdir $(TARGETS)))
638 $(INSTALL_SUDO) rm -rvf $(DESTDIR)$(DATDIR)
639 ifeq ($(ENABLE_LIBYOSYS),1)
640 $(INSTALL_SUDO) rm -vf $(DESTDIR)$(LIBDIR)/libyosys.so
641 endif
642
643 update-manual: $(TARGETS) $(EXTRA_TARGETS)
644 cd manual && ../yosys -p 'help -write-tex-command-reference-manual'
645
646 manual: $(TARGETS) $(EXTRA_TARGETS)
647 cd manual && bash appnotes.sh
648 cd manual && bash presentation.sh
649 cd manual && bash manual.sh
650
651 clean:
652 rm -rf share
653 if test -d manual; then cd manual && sh clean.sh; fi
654 rm -f $(OBJS) $(GENFILES) $(TARGETS) $(EXTRA_TARGETS) $(EXTRA_OBJS)
655 rm -f kernel/version_*.o kernel/version_*.cc abc/abc-[0-9a-f]* abc/libabc-[0-9a-f]*.a
656 rm -f libs/*/*.d frontends/*/*.d passes/*/*.d backends/*/*.d kernel/*.d techlibs/*/*.d
657 rm -rf tests/asicworld/*.out tests/asicworld/*.log
658 rm -rf tests/hana/*.out tests/hana/*.log
659 rm -rf tests/simple/*.out tests/simple/*.log
660 rm -rf tests/memories/*.out tests/memories/*.log tests/memories/*.dmp
661 rm -rf tests/sat/*.log tests/techmap/*.log tests/various/*.log
662 rm -rf tests/bram/temp tests/fsm/temp tests/realmath/temp tests/share/temp tests/smv/temp
663 rm -rf vloghtb/Makefile vloghtb/refdat vloghtb/rtl vloghtb/scripts vloghtb/spec vloghtb/check_yosys vloghtb/vloghammer_tb.tar.bz2 vloghtb/temp vloghtb/log_test_*
664 rm -f tests/svinterfaces/*.log_stdout tests/svinterfaces/*.log_stderr tests/svinterfaces/dut_result.txt tests/svinterfaces/reference_result.txt tests/svinterfaces/a.out tests/svinterfaces/*_syn.v tests/svinterfaces/*.diff
665 rm -f tests/tools/cmp_tbdata
666
667 clean-abc:
668 $(MAKE) -C abc DEP= clean
669 rm -f yosys-abc$(EXE) yosys-libabc.a abc/abc-[0-9a-f]* abc/libabc-[0-9a-f]*.a
670
671 mrproper: clean
672 git clean -xdf
673
674 coverage:
675 ./yosys -qp 'help; help -all'
676 rm -rf coverage.info coverage_html
677 lcov --capture -d . --no-external -o coverage.info
678 genhtml coverage.info --output-directory coverage_html
679
680 qtcreator:
681 { for file in $(basename $(OBJS)); do \
682 for prefix in cc y l; do if [ -f $${file}.$${prefix} ]; then echo $$file.$${prefix}; fi; done \
683 done; find backends frontends kernel libs passes -type f \( -name '*.h' -o -name '*.hh' \); } > qtcreator.files
684 { echo .; find backends frontends kernel libs passes -type f \( -name '*.h' -o -name '*.hh' \) -printf '%h\n' | sort -u; } > qtcreator.includes
685 touch qtcreator.config qtcreator.creator
686
687 vcxsrc: $(GENFILES) $(EXTRA_TARGETS)
688 rm -rf yosys-win32-vcxsrc-$(YOSYS_VER){,.zip}
689 set -e; for f in `ls $(filter %.cc %.cpp,$(GENFILES)) $(addsuffix .cc,$(basename $(OBJS))) $(addsuffix .cpp,$(basename $(OBJS))) 2> /dev/null`; do \
690 echo "Analyse: $$f" >&2; cpp -std=c++11 -MM -I. -D_YOSYS_ $$f; done | sed 's,.*:,,; s,//*,/,g; s,/[^/]*/\.\./,/,g; y, \\,\n\n,;' | grep '^[^/]' | sort -u | grep -v kernel/version_ > srcfiles.txt
691 bash misc/create_vcxsrc.sh yosys-win32-vcxsrc $(YOSYS_VER) $(GIT_REV)
692 echo "namespace Yosys { extern const char *yosys_version_str; const char *yosys_version_str=\"Yosys (Version Information Unavailable)\"; }" > kernel/version.cc
693 zip yosys-win32-vcxsrc-$(YOSYS_VER)/genfiles.zip $(GENFILES) kernel/version.cc
694 zip -r yosys-win32-vcxsrc-$(YOSYS_VER).zip yosys-win32-vcxsrc-$(YOSYS_VER)/
695 rm -f srcfiles.txt kernel/version.cc
696
697 ifeq ($(CONFIG),mxe)
698 mxebin: $(TARGETS) $(EXTRA_TARGETS)
699 rm -rf yosys-win32-mxebin-$(YOSYS_VER){,.zip}
700 mkdir -p yosys-win32-mxebin-$(YOSYS_VER)
701 cp -r yosys.exe share/ yosys-win32-mxebin-$(YOSYS_VER)/
702 ifeq ($(ENABLE_ABC),1)
703 cp -r yosys-abc.exe abc/lib/x86/pthreadVC2.dll yosys-win32-mxebin-$(YOSYS_VER)/
704 endif
705 echo -en 'This is Yosys $(YOSYS_VER) for Win32.\r\n' > yosys-win32-mxebin-$(YOSYS_VER)/readme.txt
706 echo -en 'Documentation at http://www.clifford.at/yosys/.\r\n' >> yosys-win32-mxebin-$(YOSYS_VER)/readme.txt
707 zip -r yosys-win32-mxebin-$(YOSYS_VER).zip yosys-win32-mxebin-$(YOSYS_VER)/
708 endif
709
710 config-clean: clean
711 rm -f Makefile.conf
712
713 config-clang: clean
714 echo 'CONFIG := clang' > Makefile.conf
715
716 config-gcc: clean
717 echo 'CONFIG := gcc' > Makefile.conf
718
719 config-gcc-static: clean
720 echo 'CONFIG := gcc-static' > Makefile.conf
721 echo 'ENABLE_PLUGINS := 0' >> Makefile.conf
722 echo 'ENABLE_READLINE := 0' >> Makefile.conf
723 echo 'ENABLE_TCL := 0' >> Makefile.conf
724
725 config-gcc-4.8: clean
726 echo 'CONFIG := gcc-4.8' > Makefile.conf
727
728 config-emcc: clean
729 echo 'CONFIG := emcc' > Makefile.conf
730 echo 'ENABLE_TCL := 0' >> Makefile.conf
731 echo 'ENABLE_ABC := 0' >> Makefile.conf
732 echo 'ENABLE_PLUGINS := 0' >> Makefile.conf
733 echo 'ENABLE_READLINE := 0' >> Makefile.conf
734
735 config-mxe: clean
736 echo 'CONFIG := mxe' > Makefile.conf
737 echo 'ENABLE_PLUGINS := 0' >> Makefile.conf
738
739 config-msys2: clean
740 echo 'CONFIG := msys2' > Makefile.conf
741
742 config-msys2-64: clean
743 echo 'CONFIG := msys2-64' > Makefile.conf
744
745 config-cygwin: clean
746 echo 'CONFIG := cygwin' > Makefile.conf
747
748 config-gcov: clean
749 echo 'CONFIG := gcc' > Makefile.conf
750 echo 'ENABLE_GCOV := 1' >> Makefile.conf
751 echo 'ENABLE_DEBUG := 1' >> Makefile.conf
752
753 config-gprof: clean
754 echo 'CONFIG := gcc' > Makefile.conf
755 echo 'ENABLE_GPROF := 1' >> Makefile.conf
756
757 config-sudo:
758 echo "INSTALL_SUDO := sudo" >> Makefile.conf
759
760 echo-yosys-ver:
761 @echo "$(YOSYS_VER)"
762
763 echo-git-rev:
764 @echo "$(GIT_REV)"
765
766 -include libs/*/*.d
767 -include frontends/*/*.d
768 -include passes/*/*.d
769 -include backends/*/*.d
770 -include kernel/*.d
771 -include techlibs/*/*.d
772
773 .PHONY: all top-all abc test install install-abc manual clean mrproper qtcreator coverage vcxsrc mxebin
774 .PHONY: config-clean config-clang config-gcc config-gcc-static config-gcc-4.8 config-gprof config-sudo
775