377a5f6b529c3797615eb000ba50618c046bf4e0
[yosys.git] / Makefile
1
2 CONFIG := clang
3 # CONFIG := gcc
4 # CONFIG := gcc-4.8
5 # CONFIG := afl-gcc
6 # CONFIG := emcc
7 # CONFIG := mxe
8 # CONFIG := msys2
9 # CONFIG := msys2-64
10
11 # features (the more the better)
12 ENABLE_TCL := 1
13 ENABLE_ABC := 1
14 ENABLE_GLOB := 1
15 ENABLE_PLUGINS := 1
16 ENABLE_READLINE := 1
17 ENABLE_EDITLINE := 0
18 ENABLE_VERIFIC := 0
19 ENABLE_COVER := 1
20 ENABLE_LIBYOSYS := 0
21 ENABLE_PROTOBUF := 0
22
23 # python wrappers
24 ENABLE_PYOSYS := 0
25
26 # other configuration flags
27 ENABLE_GCOV := 0
28 ENABLE_GPROF := 0
29 ENABLE_DEBUG := 0
30 ENABLE_NDEBUG := 0
31 LINK_CURSES := 0
32 LINK_TERMCAP := 0
33 LINK_ABC := 0
34 # Needed for environments that don't have proper thread support (i.e. emscripten)
35 DISABLE_ABC_THREADS := 0
36
37 # clang sanitizers
38 SANITIZER =
39 # SANITIZER = address
40 # SANITIZER = memory
41 # SANITIZER = undefined
42 # SANITIZER = cfi
43
44
45 OS := $(shell uname -s)
46 PREFIX ?= /usr/local
47 INSTALL_SUDO :=
48
49 BINDIR := $(PREFIX)/bin
50 LIBDIR := $(PREFIX)/lib
51 DATDIR := $(PREFIX)/share/yosys
52
53 EXE =
54 OBJS =
55 GENFILES =
56 EXTRA_OBJS =
57 EXTRA_TARGETS =
58 TARGETS = yosys$(EXE) yosys-config
59
60 PRETTY = 1
61 SMALL = 0
62
63 # Unit test
64 UNITESTPATH := tests/unit
65
66 all: top-all
67
68 YOSYS_SRC := $(dir $(firstword $(MAKEFILE_LIST)))
69 VPATH := $(YOSYS_SRC)
70
71 CXXFLAGS := $(CXXFLAGS) -Wall -Wextra -ggdb -I. -I"$(YOSYS_SRC)" -MD -D_YOSYS_ -fPIC -I$(PREFIX)/include
72 LDFLAGS := $(LDFLAGS) -L$(LIBDIR)
73 LDLIBS := $(LDLIBS) -lstdc++ -lm
74 PLUGIN_LDFLAGS :=
75
76 PKG_CONFIG ?= pkg-config
77 SED ?= sed
78 BISON ?= bison
79 STRIP ?= strip
80 AWK ?= awk
81
82 ifeq ($(OS), Darwin)
83 PLUGIN_LDFLAGS += -undefined dynamic_lookup
84
85 # homebrew search paths
86 ifneq ($(shell which brew),)
87 BREW_PREFIX := $(shell brew --prefix)/opt
88 $(info $$BREW_PREFIX is [${BREW_PREFIX}])
89 CXXFLAGS += -I$(BREW_PREFIX)/boost/include/boost
90 LDFLAGS += -L$(BREW_PREFIX)/boost/lib
91 CXXFLAGS += -I$(BREW_PREFIX)/readline/include
92 LDFLAGS += -L$(BREW_PREFIX)/readline/lib
93 PKG_CONFIG_PATH := $(BREW_PREFIX)/libffi/lib/pkgconfig:$(PKG_CONFIG_PATH)
94 PKG_CONFIG_PATH := $(BREW_PREFIX)/tcl-tk/lib/pkgconfig:$(PKG_CONFIG_PATH)
95 export PATH := $(BREW_PREFIX)/bison/bin:$(BREW_PREFIX)/gettext/bin:$(BREW_PREFIX)/flex/bin:$(PATH)
96
97 # macports search paths
98 else ifneq ($(shell which port),)
99 PORT_PREFIX := $(patsubst %/bin/port,%,$(shell which port))
100 CXXFLAGS += -I$(PORT_PREFIX)/include
101 LDFLAGS += -L$(PORT_PREFIX)/lib
102 PKG_CONFIG_PATH := $(PORT_PREFIX)/lib/pkgconfig:$(PKG_CONFIG_PATH)
103 export PATH := $(PORT_PREFIX)/bin:$(PATH)
104 endif
105
106 else
107 LDFLAGS += -rdynamic
108 LDLIBS += -lrt
109 endif
110
111 YOSYS_VER := 0.8+$(shell cd $(YOSYS_SRC) && test -e .git && { git log --author=clifford@clifford.at --oneline 4d4665b.. 2> /dev/null | wc -l; })
112 GIT_REV := $(shell cd $(YOSYS_SRC) && git rev-parse --short HEAD 2> /dev/null || echo UNKNOWN)
113 OBJS = kernel/version_$(GIT_REV).o
114
115 # set 'ABCREV = default' to use abc/ as it is
116 #
117 # Note: If you do ABC development, make sure that 'abc' in this directory
118 # is just a symlink to your actual ABC working directory, as 'make mrproper'
119 # will remove the 'abc' directory and you do not want to accidentally
120 # delete your work on ABC..
121 ABCREV = 62487de
122 ABCPULL = 1
123 ABCURL ?= https://github.com/berkeley-abc/abc
124 ABCMKARGS = CC="$(CXX)" CXX="$(CXX)" ABC_USE_LIBSTDCXX=1
125
126 # set ABCEXTERNAL = <abc-command> to use an external ABC instance
127 # Note: The in-tree ABC (yosys-abc) will not be installed when ABCEXTERNAL is set.
128 ABCEXTERNAL ?=
129
130 define newline
131
132
133 endef
134
135 ifneq ($(wildcard Makefile.conf),)
136 $(info $(subst $$--$$,$(newline),$(shell sed 's,^,[Makefile.conf] ,; s,$$,$$--$$,;' < Makefile.conf | tr -d '\n' | sed 's,\$$--\$$$$,,')))
137 include Makefile.conf
138 endif
139
140 ifeq ($(ENABLE_PYOSYS),1)
141 PYTHON_VERSION_TESTCODE := "import sys;t='{v[0]}.{v[1]}'.format(v=list(sys.version_info[:2]));print(t)"
142 PYTHON_EXECUTABLE := $(shell if python3 -c ""; then echo "python3"; else echo "python"; fi)
143 PYTHON_VERSION := $(shell $(PYTHON_EXECUTABLE) -c ""$(PYTHON_VERSION_TESTCODE)"")
144 PYTHON_MAJOR_VERSION := $(shell echo $(PYTHON_VERSION) | cut -f1 -d.)
145 PYTHON_PREFIX := $(shell $(PYTHON_EXECUTABLE)-config --prefix)
146 PYTHON_DESTDIR := $(PYTHON_PREFIX)/lib/python$(PYTHON_VERSION)/site-packages
147
148 # Reload Makefile.conf to override python specific variables if defined
149 ifneq ($(wildcard Makefile.conf),)
150 include Makefile.conf
151 endif
152
153 endif
154
155 ifeq ($(CONFIG),clang)
156 CXX = clang
157 LD = clang++
158 CXXFLAGS += -std=c++11 -Os
159 ABCMKARGS += ARCHFLAGS="-DABC_USE_STDINT_H"
160
161 ifneq ($(SANITIZER),)
162 $(info [Clang Sanitizer] $(SANITIZER))
163 CXXFLAGS += -g -O1 -fno-omit-frame-pointer -fno-optimize-sibling-calls -fsanitize=$(SANITIZER)
164 LDFLAGS += -g -fsanitize=$(SANITIZER)
165 ifeq ($(SANITIZER),address)
166 ENABLE_COVER := 0
167 endif
168 ifeq ($(SANITIZER),memory)
169 CXXFLAGS += -fPIE -fsanitize-memory-track-origins
170 LDFLAGS += -fPIE -fsanitize-memory-track-origins
171 endif
172 ifeq ($(SANITIZER),cfi)
173 CXXFLAGS += -flto
174 LDFLAGS += -flto
175 endif
176 endif
177
178 else ifeq ($(CONFIG),gcc)
179 CXX = gcc
180 LD = gcc
181 CXXFLAGS += -std=c++11 -Os
182 ABCMKARGS += ARCHFLAGS="-DABC_USE_STDINT_H"
183
184 else ifeq ($(CONFIG),gcc-static)
185 LD = $(CXX)
186 LDFLAGS := $(filter-out -rdynamic,$(LDFLAGS)) -static
187 LDLIBS := $(filter-out -lrt,$(LDLIBS))
188 CXXFLAGS := $(filter-out -fPIC,$(CXXFLAGS))
189 CXXFLAGS += -std=c++11 -Os
190 ABCMKARGS = CC="$(CC)" CXX="$(CXX)" LD="$(LD)" ABC_USE_LIBSTDCXX=1 LIBS="-lm -lpthread -static" OPTFLAGS="-O" \
191 ARCHFLAGS="-DABC_USE_STDINT_H -DABC_NO_DYNAMIC_LINKING=1 -Wno-unused-but-set-variable $(ARCHFLAGS)" ABC_USE_NO_READLINE=1
192 ifeq ($(DISABLE_ABC_THREADS),1)
193 ABCMKARGS += "ABC_USE_NO_PTHREADS=1"
194 endif
195
196 else ifeq ($(CONFIG),gcc-4.8)
197 CXX = gcc-4.8
198 LD = gcc-4.8
199 CXXFLAGS += -std=c++11 -Os
200 ABCMKARGS += ARCHFLAGS="-DABC_USE_STDINT_H"
201
202 else ifeq ($(CONFIG),afl-gcc)
203 CXX = AFL_QUIET=1 AFL_HARDEN=1 afl-gcc
204 LD = AFL_QUIET=1 AFL_HARDEN=1 afl-gcc
205 CXXFLAGS += -std=c++11 -Os
206 ABCMKARGS += ARCHFLAGS="-DABC_USE_STDINT_H"
207
208 else ifeq ($(CONFIG),cygwin)
209 CXX = gcc
210 LD = gcc
211 CXXFLAGS += -std=gnu++11 -Os
212 ABCMKARGS += ARCHFLAGS="-DABC_USE_STDINT_H"
213
214 else ifeq ($(CONFIG),emcc)
215 CXX = emcc
216 LD = emcc
217 CXXFLAGS := -std=c++11 $(filter-out -fPIC -ggdb,$(CXXFLAGS))
218 ABCMKARGS += ARCHFLAGS="-DABC_USE_STDINT_H -DABC_MEMALIGN=8"
219 EMCCFLAGS := -Os -Wno-warn-absolute-paths
220 EMCCFLAGS += --memory-init-file 0 --embed-file share -s NO_EXIT_RUNTIME=1
221 EMCCFLAGS += -s EXPORTED_FUNCTIONS="['_main','_run','_prompt','_errmsg']"
222 EMCCFLAGS += -s TOTAL_MEMORY=128*1024*1024
223 # https://github.com/kripken/emscripten/blob/master/src/settings.js
224 CXXFLAGS += $(EMCCFLAGS)
225 LDFLAGS += $(EMCCFLAGS)
226 LDLIBS =
227 EXE = .js
228
229 TARGETS := $(filter-out yosys-config,$(TARGETS))
230 EXTRA_TARGETS += yosysjs-$(YOSYS_VER).zip
231
232 ifeq ($(ENABLE_ABC),1)
233 LINK_ABC := 1
234 DISABLE_ABC_THREADS := 1
235 endif
236
237 viz.js:
238 wget -O viz.js.part https://github.com/mdaines/viz.js/releases/download/0.0.3/viz.js
239 mv viz.js.part viz.js
240
241 yosysjs-$(YOSYS_VER).zip: yosys.js viz.js misc/yosysjs/*
242 rm -rf yosysjs-$(YOSYS_VER) yosysjs-$(YOSYS_VER).zip
243 mkdir -p yosysjs-$(YOSYS_VER)
244 cp viz.js misc/yosysjs/* yosys.js yosysjs-$(YOSYS_VER)/
245 zip -r yosysjs-$(YOSYS_VER).zip yosysjs-$(YOSYS_VER)
246
247 yosys.html: misc/yosys.html
248 $(P) cp misc/yosys.html yosys.html
249
250 else ifeq ($(CONFIG),mxe)
251 PKG_CONFIG = /usr/local/src/mxe/usr/bin/i686-w64-mingw32.static-pkg-config
252 CXX = /usr/local/src/mxe/usr/bin/i686-w64-mingw32.static-g++
253 LD = /usr/local/src/mxe/usr/bin/i686-w64-mingw32.static-g++
254 CXXFLAGS += -std=c++11 -Os -D_POSIX_SOURCE -DYOSYS_MXE_HACKS -Wno-attributes
255 CXXFLAGS := $(filter-out -fPIC,$(CXXFLAGS))
256 LDFLAGS := $(filter-out -rdynamic,$(LDFLAGS)) -s
257 LDLIBS := $(filter-out -lrt,$(LDLIBS))
258 ABCMKARGS += ARCHFLAGS="-DWIN32_NO_DLL -DHAVE_STRUCT_TIMESPEC -fpermissive -w"
259 ABCMKARGS += LIBS="lib/x86/pthreadVC2.lib -s" ABC_USE_NO_READLINE=1 CC="/usr/local/src/mxe/usr/bin/i686-w64-mingw32.static-gcc"
260 EXE = .exe
261
262 else ifeq ($(CONFIG),msys2)
263 CXX = i686-w64-mingw32-g++
264 LD = i686-w64-mingw32-g++
265 CXXFLAGS += -std=c++11 -Os -D_POSIX_SOURCE -DYOSYS_WIN32_UNIX_DIR
266 CXXFLAGS := $(filter-out -fPIC,$(CXXFLAGS))
267 LDFLAGS := $(filter-out -rdynamic,$(LDFLAGS)) -s
268 LDLIBS := $(filter-out -lrt,$(LDLIBS))
269 ABCMKARGS += ARCHFLAGS="-DABC_USE_STDINT_H -DWIN32_NO_DLL -DHAVE_STRUCT_TIMESPEC -fpermissive -w"
270 ABCMKARGS += LIBS="-lpthread -s" ABC_USE_NO_READLINE=0 CC="i686-w64-mingw32-gcc" CXX="$(CXX)"
271 EXE = .exe
272
273 else ifeq ($(CONFIG),msys2-64)
274 CXX = x86_64-w64-mingw32-g++
275 LD = x86_64-w64-mingw32-g++
276 CXXFLAGS += -std=c++11 -Os -D_POSIX_SOURCE -DYOSYS_WIN32_UNIX_DIR
277 CXXFLAGS := $(filter-out -fPIC,$(CXXFLAGS))
278 LDFLAGS := $(filter-out -rdynamic,$(LDFLAGS)) -s
279 LDLIBS := $(filter-out -lrt,$(LDLIBS))
280 ABCMKARGS += ARCHFLAGS="-DABC_USE_STDINT_H -DWIN32_NO_DLL -DHAVE_STRUCT_TIMESPEC -fpermissive -w"
281 ABCMKARGS += LIBS="-lpthread -s" ABC_USE_NO_READLINE=0 CC="x86_64-w64-mingw32-gcc" CXX="$(CXX)"
282 EXE = .exe
283
284 else ifneq ($(CONFIG),none)
285 $(error Invalid CONFIG setting '$(CONFIG)'. Valid values: clang, gcc, gcc-4.8, emcc, mxe, msys2, msys2-64)
286 endif
287
288 ifeq ($(ENABLE_LIBYOSYS),1)
289 TARGETS += libyosys.so
290 endif
291
292 ifeq ($(ENABLE_PYOSYS),1)
293
294 #Detect name of boost_python library. Some distros usbe boost_python-py<version>, other boost_python<version>, some only use the major version number, some a concatenation of major and minor version numbers
295 ifeq ($(OS), Darwin)
296 BOOST_PYTHON_LIB ?= $(shell \
297 if echo "int main(int argc, char ** argv) {return 0;}" | $(CXX) -xc -o /dev/null $(shell $(PYTHON_EXECUTABLE)-config --ldflags) -lboost_python-py$(subst .,,$(PYTHON_VERSION)) - > /dev/null 2>&1; then echo "-lboost_python-py$(subst .,,$(PYTHON_VERSION))"; else \
298 if echo "int main(int argc, char ** argv) {return 0;}" | $(CXX) -xc -o /dev/null $(shell $(PYTHON_EXECUTABLE)-config --ldflags) -lboost_python-py$(subst .,,$(PYTHON_MAJOR_VERSION)) - > /dev/null 2>&1; then echo "-lboost_python-py$(subst .,,$(PYTHON_MAJOR_VERSION))"; else \
299 if echo "int main(int argc, char ** argv) {return 0;}" | $(CXX) -xc -o /dev/null $(shell $(PYTHON_EXECUTABLE)-config --ldflags) -lboost_python$(subst .,,$(PYTHON_VERSION)) - > /dev/null 2>&1; then echo "-lboost_python$(subst .,,$(PYTHON_VERSION))"; else \
300 if echo "int main(int argc, char ** argv) {return 0;}" | $(CXX) -xc -o /dev/null $(shell $(PYTHON_EXECUTABLE)-config --ldflags) -lboost_python$(subst .,,$(PYTHON_MAJOR_VERSION)) - > /dev/null 2>&1; then echo "-lboost_python$(subst .,,$(PYTHON_MAJOR_VERSION))"; else \
301 echo ""; fi; fi; fi; fi;)
302 else
303 BOOST_PYTHON_LIB ?= $(shell \
304 if echo "int main(int argc, char ** argv) {return 0;}" | $(CXX) -xc -o /dev/null `$(PYTHON_EXECUTABLE)-config --libs` -lboost_python-py$(subst .,,$(PYTHON_VERSION)) - > /dev/null 2>&1; then echo "-lboost_python-py$(subst .,,$(PYTHON_VERSION))"; else \
305 if echo "int main(int argc, char ** argv) {return 0;}" | $(CXX) -xc -o /dev/null `$(PYTHON_EXECUTABLE)-config --libs` -lboost_python-py$(subst .,,$(PYTHON_MAJOR_VERSION)) - > /dev/null 2>&1; then echo "-lboost_python-py$(subst .,,$(PYTHON_MAJOR_VERSION))"; else \
306 if echo "int main(int argc, char ** argv) {return 0;}" | $(CXX) -xc -o /dev/null `$(PYTHON_EXECUTABLE)-config --libs` -lboost_python$(subst .,,$(PYTHON_VERSION)) - > /dev/null 2>&1; then echo "-lboost_python$(subst .,,$(PYTHON_VERSION))"; else \
307 if echo "int main(int argc, char ** argv) {return 0;}" | $(CXX) -xc -o /dev/null `$(PYTHON_EXECUTABLE)-config --libs` -lboost_python$(subst .,,$(PYTHON_MAJOR_VERSION)) - > /dev/null 2>&1; then echo "-lboost_python$(subst .,,$(PYTHON_MAJOR_VERSION))"; else \
308 echo ""; fi; fi; fi; fi;)
309 endif
310
311 ifeq ($(BOOST_PYTHON_LIB),)
312 $(error BOOST_PYTHON_LIB could not be detected. Please define manualy)
313 endif
314
315 ifeq ($(OS), Darwin)
316 ifeq ($(PYTHON_MAJOR_VERSION),3)
317 LDLIBS += $(shell $(PYTHON_EXECUTABLE)-config --ldflags) $(BOOST_PYTHON_LIB) -lboost_system -lboost_filesystem
318 CXXFLAGS += $(shell $(PYTHON_EXECUTABLE)-config --includes) -DWITH_PYTHON
319 else
320 LDLIBS += $(shell $(PYTHON_EXECUTABLE)-config --ldflags) $(BOOST_PYTHON_LIB) -lboost_system -lboost_filesystem
321 CXXFLAGS += $(shell $(PYTHON_EXECUTABLE)-config --includes) -DWITH_PYTHON
322 endif
323 else
324 ifeq ($(PYTHON_MAJOR_VERSION),3)
325 LDLIBS += $(shell $(PYTHON_EXECUTABLE)-config --libs) $(BOOST_PYTHON_LIB) -lboost_system -lboost_filesystem
326 CXXFLAGS += $(shell $(PYTHON_EXECUTABLE)-config --includes) -DWITH_PYTHON
327 else
328 LDLIBS += $(shell $(PYTHON_EXECUTABLE)-config --libs) $(BOOST_PYTHON_LIB) -lboost_system -lboost_filesystem
329 CXXFLAGS += $(shell $(PYTHON_EXECUTABLE)-config --includes) -DWITH_PYTHON
330 endif
331 endif
332
333 ifeq ($(ENABLE_PYOSYS),1)
334 PY_WRAPPER_FILE = kernel/python_wrappers
335 OBJS += $(PY_WRAPPER_FILE).o
336 PY_GEN_SCRIPT= py_wrap_generator
337 PY_WRAP_INCLUDES := $(shell python$(PYTHON_VERSION) -c "from misc import $(PY_GEN_SCRIPT); $(PY_GEN_SCRIPT).print_includes()")
338 endif
339 endif
340
341 ifeq ($(ENABLE_READLINE),1)
342 CXXFLAGS += -DYOSYS_ENABLE_READLINE
343 ifeq ($(OS), FreeBSD)
344 CXXFLAGS += -I/usr/local/include
345 endif
346 LDLIBS += -lreadline
347 ifeq ($(LINK_CURSES),1)
348 LDLIBS += -lcurses
349 ABCMKARGS += "ABC_READLINE_LIBRARIES=-lcurses -lreadline"
350 endif
351 ifeq ($(LINK_TERMCAP),1)
352 LDLIBS += -ltermcap
353 ABCMKARGS += "ABC_READLINE_LIBRARIES=-lreadline -ltermcap"
354 endif
355 ifeq ($(CONFIG),mxe)
356 LDLIBS += -ltermcap
357 endif
358 else
359 ifeq ($(ENABLE_EDITLINE),1)
360 CXXFLAGS += -DYOSYS_ENABLE_EDITLINE
361 LDLIBS += -ledit -ltinfo -lbsd
362 else
363 ABCMKARGS += "ABC_USE_NO_READLINE=1"
364 endif
365 endif
366
367 ifeq ($(DISABLE_ABC_THREADS),1)
368 ABCMKARGS += "ABC_USE_NO_PTHREADS=1"
369 endif
370
371 ifeq ($(ENABLE_PLUGINS),1)
372 CXXFLAGS += $(shell PKG_CONFIG_PATH=$(PKG_CONFIG_PATH) $(PKG_CONFIG) --silence-errors --cflags libffi) -DYOSYS_ENABLE_PLUGINS
373 LDLIBS += $(shell PKG_CONFIG_PATH=$(PKG_CONFIG_PATH) $(PKG_CONFIG) --silence-errors --libs libffi || echo -lffi)
374 ifneq ($(OS), FreeBSD)
375 LDLIBS += -ldl
376 endif
377 endif
378
379 ifeq ($(ENABLE_GLOB),1)
380 CXXFLAGS += -DYOSYS_ENABLE_GLOB
381 endif
382
383 ifeq ($(ENABLE_TCL),1)
384 TCL_VERSION ?= tcl$(shell bash -c "tclsh <(echo 'puts [info tclversion]')")
385 ifeq ($(OS), FreeBSD)
386 TCL_INCLUDE ?= /usr/local/include/$(TCL_VERSION)
387 else
388 TCL_INCLUDE ?= /usr/include/$(TCL_VERSION)
389 endif
390
391 ifeq ($(CONFIG),mxe)
392 CXXFLAGS += -DYOSYS_ENABLE_TCL
393 LDLIBS += -ltcl86 -lwsock32 -lws2_32 -lnetapi32 -lz
394 else
395 CXXFLAGS += $(shell PKG_CONFIG_PATH=$(PKG_CONFIG_PATH) $(PKG_CONFIG) --silence-errors --cflags tcl || echo -I$(TCL_INCLUDE)) -DYOSYS_ENABLE_TCL
396 ifeq ($(OS), FreeBSD)
397 # FreeBSD uses tcl8.6, but lib is named "libtcl86"
398 LDLIBS += $(shell PKG_CONFIG_PATH=$(PKG_CONFIG_PATH) $(PKG_CONFIG) --silence-errors --libs tcl || echo -l$(TCL_VERSION) | tr -d '.')
399 else
400 LDLIBS += $(shell PKG_CONFIG_PATH=$(PKG_CONFIG_PATH) $(PKG_CONFIG) --silence-errors --libs tcl || echo -l$(TCL_VERSION))
401 endif
402 endif
403 endif
404
405 ifeq ($(ENABLE_GCOV),1)
406 CXXFLAGS += --coverage
407 LDFLAGS += --coverage
408 endif
409
410 ifeq ($(ENABLE_GPROF),1)
411 CXXFLAGS += -pg
412 LDFLAGS += -pg
413 endif
414
415 ifeq ($(ENABLE_NDEBUG),1)
416 CXXFLAGS := -O3 -DNDEBUG $(filter-out -Os -ggdb,$(CXXFLAGS))
417 endif
418
419 ifeq ($(ENABLE_DEBUG),1)
420 ifeq ($(CONFIG),clang)
421 CXXFLAGS := -O0 -DDEBUG $(filter-out -Os,$(CXXFLAGS))
422 else
423 CXXFLAGS := -Og -DDEBUG $(filter-out -Os,$(CXXFLAGS))
424 endif
425 endif
426
427 ifeq ($(ENABLE_ABC),1)
428 CXXFLAGS += -DYOSYS_ENABLE_ABC
429 ifeq ($(LINK_ABC),1)
430 CXXFLAGS += -DYOSYS_LINK_ABC
431 ifeq ($(DISABLE_ABC_THREADS),0)
432 LDLIBS += -lpthread
433 endif
434 else
435 ifeq ($(ABCEXTERNAL),)
436 TARGETS += yosys-abc$(EXE)
437 endif
438 endif
439 endif
440
441 ifeq ($(ENABLE_VERIFIC),1)
442 VERIFIC_DIR ?= /usr/local/src/verific_lib
443 VERIFIC_COMPONENTS ?= verilog vhdl database util containers hier_tree
444 CXXFLAGS += $(patsubst %,-I$(VERIFIC_DIR)/%,$(VERIFIC_COMPONENTS)) -DYOSYS_ENABLE_VERIFIC
445 ifeq ($(OS), Darwin)
446 LDLIBS += $(patsubst %,$(VERIFIC_DIR)/%/*-mac.a,$(VERIFIC_COMPONENTS)) -lz
447 else
448 LDLIBS += $(patsubst %,$(VERIFIC_DIR)/%/*-linux.a,$(VERIFIC_COMPONENTS)) -lz
449 endif
450 endif
451
452 ifeq ($(ENABLE_PROTOBUF),1)
453 LDLIBS += $(shell pkg-config --cflags --libs protobuf)
454 endif
455
456 ifeq ($(ENABLE_COVER),1)
457 CXXFLAGS += -DYOSYS_ENABLE_COVER
458 endif
459
460 define add_share_file
461 EXTRA_TARGETS += $(subst //,/,$(1)/$(notdir $(2)))
462 $(subst //,/,$(1)/$(notdir $(2))): $(2)
463 $$(P) mkdir -p $(1)
464 $$(Q) cp "$(YOSYS_SRC)"/$(2) $(subst //,/,$(1)/$(notdir $(2)))
465 endef
466
467 define add_gen_share_file
468 EXTRA_TARGETS += $(subst //,/,$(1)/$(notdir $(2)))
469 $(subst //,/,$(1)/$(notdir $(2))): $(2)
470 $$(P) mkdir -p $(1)
471 $$(Q) cp $(2) $(subst //,/,$(1)/$(notdir $(2)))
472 endef
473
474 define add_include_file
475 $(eval $(call add_share_file,$(dir share/include/$(1)),$(1)))
476 endef
477
478 ifeq ($(PRETTY), 1)
479 P_STATUS = 0
480 P_OFFSET = 0
481 P_UPDATE = $(eval P_STATUS=$(shell echo $(OBJS) yosys$(EXE) | $(AWK) 'BEGIN { RS = " "; I = $(P_STATUS)+0; } $$1 == "$@" && NR > I { I = NR; } END { print I; }'))
482 P_SHOW = [$(shell $(AWK) "BEGIN { N=$(words $(OBJS) yosys$(EXE)); printf \"%3d\", $(P_OFFSET)+90*$(P_STATUS)/N; exit; }")%]
483 P = @echo "$(if $(findstring $@,$(TARGETS) $(EXTRA_TARGETS)),$(eval P_OFFSET = 10))$(call P_UPDATE)$(call P_SHOW) Building $@";
484 Q = @
485 S = -s
486 else
487 P_SHOW = ->
488 P =
489 Q =
490 S =
491 endif
492
493 $(eval $(call add_include_file,kernel/yosys.h))
494 $(eval $(call add_include_file,kernel/hashlib.h))
495 $(eval $(call add_include_file,kernel/log.h))
496 $(eval $(call add_include_file,kernel/rtlil.h))
497 $(eval $(call add_include_file,kernel/register.h))
498 $(eval $(call add_include_file,kernel/celltypes.h))
499 $(eval $(call add_include_file,kernel/celledges.h))
500 $(eval $(call add_include_file,kernel/consteval.h))
501 $(eval $(call add_include_file,kernel/sigtools.h))
502 $(eval $(call add_include_file,kernel/modtools.h))
503 $(eval $(call add_include_file,kernel/macc.h))
504 $(eval $(call add_include_file,kernel/utils.h))
505 $(eval $(call add_include_file,kernel/satgen.h))
506 $(eval $(call add_include_file,libs/ezsat/ezsat.h))
507 $(eval $(call add_include_file,libs/ezsat/ezminisat.h))
508 $(eval $(call add_include_file,libs/sha1/sha1.h))
509 $(eval $(call add_include_file,passes/fsm/fsmdata.h))
510 $(eval $(call add_include_file,frontends/ast/ast.h))
511 $(eval $(call add_include_file,backends/ilang/ilang_backend.h))
512
513 OBJS += kernel/driver.o kernel/register.o kernel/rtlil.o kernel/log.o kernel/calc.o kernel/yosys.o
514 OBJS += kernel/cellaigs.o kernel/celledges.o
515
516 kernel/log.o: CXXFLAGS += -DYOSYS_SRC='"$(YOSYS_SRC)"'
517 kernel/yosys.o: CXXFLAGS += -DYOSYS_DATDIR='"$(DATDIR)"'
518
519 OBJS += libs/bigint/BigIntegerAlgorithms.o libs/bigint/BigInteger.o libs/bigint/BigIntegerUtils.o
520 OBJS += libs/bigint/BigUnsigned.o libs/bigint/BigUnsignedInABase.o
521
522 OBJS += libs/sha1/sha1.o
523
524 ifneq ($(SMALL),1)
525
526 OBJS += libs/subcircuit/subcircuit.o
527
528 OBJS += libs/ezsat/ezsat.o
529 OBJS += libs/ezsat/ezminisat.o
530
531 OBJS += libs/minisat/Options.o
532 OBJS += libs/minisat/SimpSolver.o
533 OBJS += libs/minisat/Solver.o
534 OBJS += libs/minisat/System.o
535
536 include $(YOSYS_SRC)/frontends/*/Makefile.inc
537 include $(YOSYS_SRC)/passes/*/Makefile.inc
538 include $(YOSYS_SRC)/backends/*/Makefile.inc
539 include $(YOSYS_SRC)/techlibs/*/Makefile.inc
540
541 else
542
543 include frontends/verilog/Makefile.inc
544 include frontends/ilang/Makefile.inc
545 include frontends/ast/Makefile.inc
546 include frontends/blif/Makefile.inc
547
548 OBJS += passes/hierarchy/hierarchy.o
549 OBJS += passes/cmds/select.o
550 OBJS += passes/cmds/show.o
551 OBJS += passes/cmds/stat.o
552 OBJS += passes/cmds/cover.o
553 OBJS += passes/cmds/design.o
554 OBJS += passes/cmds/plugin.o
555
556 include passes/proc/Makefile.inc
557 include passes/opt/Makefile.inc
558 include passes/techmap/Makefile.inc
559
560 include backends/verilog/Makefile.inc
561 include backends/ilang/Makefile.inc
562
563 include techlibs/common/Makefile.inc
564
565 endif
566
567 ifeq ($(LINK_ABC),1)
568 OBJS += yosys-libabc.a
569 endif
570
571 top-all: $(TARGETS) $(EXTRA_TARGETS)
572 @echo ""
573 @echo " Build successful."
574 @echo ""
575
576 ifeq ($(CONFIG),emcc)
577 yosys.js: $(filter-out yosysjs-$(YOSYS_VER).zip,$(EXTRA_TARGETS))
578 endif
579
580 yosys$(EXE): $(OBJS)
581 $(P) $(LD) -o yosys$(EXE) $(LDFLAGS) $(OBJS) $(LDLIBS)
582
583 libyosys.so: $(filter-out kernel/driver.o,$(OBJS))
584 ifeq ($(OS), Darwin)
585 $(P) $(LD) -o libyosys.so -shared -Wl,-install_name,libyosys.so $(LDFLAGS) $^ $(LDLIBS)
586 else
587 $(P) $(LD) -o libyosys.so -shared -Wl,-soname,libyosys.so $(LDFLAGS) $^ $(LDLIBS)
588 endif
589
590 %.o: %.cc
591 $(Q) mkdir -p $(dir $@)
592 $(P) $(CXX) -o $@ -c $(CPPFLAGS) $(CXXFLAGS) $<
593
594 %.pyh: %.h
595 $(Q) mkdir -p $(dir $@)
596 $(P) cat $< | grep -E -v "#[ ]*(include|error)" | $(LD) -x c++ -o $@ -E -P -
597
598 ifeq ($(ENABLE_PYOSYS),1)
599 $(PY_WRAPPER_FILE).cc: misc/$(PY_GEN_SCRIPT).py $(PY_WRAP_INCLUDES)
600 $(Q) mkdir -p $(dir $@)
601 $(P) python$(PYTHON_VERSION) -c "from misc import $(PY_GEN_SCRIPT); $(PY_GEN_SCRIPT).gen_wrappers(\"$(PY_WRAPPER_FILE).cc\")"
602 endif
603
604 %.o: %.cpp
605 $(Q) mkdir -p $(dir $@)
606 $(P) $(CXX) -o $@ -c $(CPPFLAGS) $(CXXFLAGS) $<
607
608 YOSYS_VER_STR := Yosys $(YOSYS_VER) (git sha1 $(GIT_REV), $(notdir $(CXX)) $(shell \
609 $(CXX) --version | tr ' ()' '\n' | grep '^[0-9]' | head -n1) $(filter -f% -m% -O% -DNDEBUG,$(CXXFLAGS)))
610
611 kernel/version_$(GIT_REV).cc: $(YOSYS_SRC)/Makefile
612 $(P) rm -f kernel/version_*.o kernel/version_*.d kernel/version_*.cc
613 $(Q) mkdir -p kernel && echo "namespace Yosys { extern const char *yosys_version_str; const char *yosys_version_str=\"$(YOSYS_VER_STR)\"; }" > kernel/version_$(GIT_REV).cc
614
615 ifeq ($(ENABLE_VERIFIC),1)
616 CXXFLAGS_NOVERIFIC = $(foreach v,$(CXXFLAGS),$(if $(findstring $(VERIFIC_DIR),$(v)),,$(v)))
617 LDLIBS_NOVERIFIC = $(foreach v,$(LDLIBS),$(if $(findstring $(VERIFIC_DIR),$(v)),,$(v)))
618 else
619 CXXFLAGS_NOVERIFIC = $(CXXFLAGS)
620 LDLIBS_NOVERIFIC = $(LDLIBS)
621 endif
622
623 yosys-config: misc/yosys-config.in
624 $(P) $(SED) -e 's#@CXXFLAGS@#$(subst -I. -I"$(YOSYS_SRC)",-I"$(DATDIR)/include",$(strip $(CXXFLAGS_NOVERIFIC)))#;' \
625 -e 's#@CXX@#$(strip $(CXX))#;' -e 's#@LDFLAGS@#$(strip $(LDFLAGS) $(PLUGIN_LDFLAGS))#;' -e 's#@LDLIBS@#$(strip $(LDLIBS_NOVERIFIC))#;' \
626 -e 's#@BINDIR@#$(strip $(BINDIR))#;' -e 's#@DATDIR@#$(strip $(DATDIR))#;' < $< > yosys-config
627 $(Q) chmod +x yosys-config
628
629 abc/abc-$(ABCREV)$(EXE) abc/libabc-$(ABCREV).a:
630 $(P)
631 ifneq ($(ABCREV),default)
632 $(Q) if test -d abc/.hg; then \
633 echo 'REEBE: NOP qverpgbel vf n ut jbexvat pbcl! Erzbir nop/ naq er-eha "znxr".' | tr 'A-Za-z' 'N-ZA-Mn-za-m'; false; \
634 fi
635 $(Q) if ( cd abc 2> /dev/null && ! git diff-index --quiet HEAD; ); then \
636 echo 'REEBE: NOP pbagnvaf ybpny zbqvsvpngvbaf! Frg NOPERI=qrsnhyg va Lbflf Znxrsvyr!' | tr 'A-Za-z' 'N-ZA-Mn-za-m'; false; \
637 fi
638 $(Q) if test "`cd abc 2> /dev/null && git rev-parse --short HEAD`" != "$(ABCREV)"; then \
639 test $(ABCPULL) -ne 0 || { echo 'REEBE: NOP abg hc gb qngr naq NOPCHYY frg gb 0 va Znxrsvyr!' | tr 'A-Za-z' 'N-ZA-Mn-za-m'; exit 1; }; \
640 echo "Pulling ABC from $(ABCURL):"; set -x; \
641 test -d abc || git clone $(ABCURL) abc; \
642 cd abc && $(MAKE) DEP= clean && git fetch origin master && git checkout $(ABCREV); \
643 fi
644 endif
645 $(Q) rm -f abc/abc-[0-9a-f]*
646 $(Q) cd abc && $(MAKE) $(S) $(ABCMKARGS) $(if $(filter %.a,$@),PROG="abc-$(ABCREV)",PROG="abc-$(ABCREV)$(EXE)") MSG_PREFIX="$(eval P_OFFSET = 5)$(call P_SHOW)$(eval P_OFFSET = 10) ABC: " $(if $(filter %.a,$@),libabc-$(ABCREV).a)
647
648 ifeq ($(ABCREV),default)
649 .PHONY: abc/abc-$(ABCREV)$(EXE)
650 .PHONY: abc/libabc-$(ABCREV).a
651 endif
652
653 yosys-abc$(EXE): abc/abc-$(ABCREV)$(EXE)
654 $(P) cp abc/abc-$(ABCREV)$(EXE) yosys-abc$(EXE)
655
656 yosys-libabc.a: abc/libabc-$(ABCREV).a
657 $(P) cp abc/libabc-$(ABCREV).a yosys-libabc.a
658
659 ifneq ($(SEED),)
660 SEEDOPT="-S $(SEED)"
661 else
662 SEEDOPT=""
663 endif
664
665 test: $(TARGETS) $(EXTRA_TARGETS)
666 +cd tests/simple && bash run-test.sh $(SEEDOPT)
667 +cd tests/hana && bash run-test.sh $(SEEDOPT)
668 +cd tests/asicworld && bash run-test.sh $(SEEDOPT)
669 # +cd tests/realmath && bash run-test.sh $(SEEDOPT)
670 +cd tests/share && bash run-test.sh $(SEEDOPT)
671 +cd tests/fsm && bash run-test.sh $(SEEDOPT)
672 +cd tests/techmap && bash run-test.sh
673 +cd tests/memories && bash run-test.sh $(SEEDOPT)
674 +cd tests/bram && bash run-test.sh $(SEEDOPT)
675 +cd tests/various && bash run-test.sh
676 +cd tests/sat && bash run-test.sh
677 +cd tests/svinterfaces && bash run-test.sh $(SEEDOPT)
678 +cd tests/opt && bash run-test.sh
679 +cd tests/aiger && bash run-test.sh
680 @echo ""
681 @echo " Passed \"make test\"."
682 @echo ""
683
684 VALGRIND ?= valgrind --error-exitcode=1 --leak-check=full --show-reachable=yes --errors-for-leak-kinds=all
685
686 vgtest: $(TARGETS) $(EXTRA_TARGETS)
687 $(VALGRIND) ./yosys -p 'setattr -mod -unset top; synth' $$( ls tests/simple/*.v | grep -v repwhile.v )
688 @echo ""
689 @echo " Passed \"make vgtest\"."
690 @echo ""
691
692 vloghtb: $(TARGETS) $(EXTRA_TARGETS)
693 +cd tests/vloghtb && bash run-test.sh
694 @echo ""
695 @echo " Passed \"make vloghtb\"."
696 @echo ""
697
698 ystests: $(TARGETS) $(EXTRA_TARGETS)
699 rm -rf tests/ystests
700 git clone https://github.com/YosysHQ/yosys-tests.git tests/ystests
701 +$(MAKE) PATH="$$PWD:$$PATH" -C tests/ystests
702 @echo ""
703 @echo " Finished \"make ystests\"."
704 @echo ""
705
706 # Unit test
707 unit-test: libyosys.so
708 @$(MAKE) -C $(UNITESTPATH) CXX="$(CXX)" CPPFLAGS="$(CPPFLAGS)" \
709 CXXFLAGS="$(CXXFLAGS)" LDLIBS="$(LDLIBS)" ROOTPATH="$(CURDIR)"
710
711 clean-unit-test:
712 @$(MAKE) -C $(UNITESTPATH) clean
713
714 install: $(TARGETS) $(EXTRA_TARGETS)
715 $(INSTALL_SUDO) mkdir -p $(DESTDIR)$(BINDIR)
716 $(INSTALL_SUDO) cp $(TARGETS) $(DESTDIR)$(BINDIR)
717 ifneq ($(filter yosys,$(TARGETS)),)
718 $(INSTALL_SUDO) $(STRIP) -S $(DESTDIR)$(BINDIR)/yosys
719 endif
720 ifneq ($(filter yosys-abc,$(TARGETS)),)
721 $(INSTALL_SUDO) $(STRIP) $(DESTDIR)$(BINDIR)/yosys-abc
722 endif
723 ifneq ($(filter yosys-filterlib,$(TARGETS)),)
724 $(INSTALL_SUDO) $(STRIP) $(DESTDIR)$(BINDIR)/yosys-filterlib
725 endif
726 $(INSTALL_SUDO) mkdir -p $(DESTDIR)$(DATDIR)
727 $(INSTALL_SUDO) cp -r share/. $(DESTDIR)$(DATDIR)/.
728 ifeq ($(ENABLE_LIBYOSYS),1)
729 $(INSTALL_SUDO) mkdir -p $(DESTDIR)$(LIBDIR)
730 $(INSTALL_SUDO) cp libyosys.so $(DESTDIR)$(LIBDIR)/
731 $(INSTALL_SUDO) $(STRIP) -S $(DESTDIR)$(LIBDIR)/libyosys.so
732 ifeq ($(ENABLE_PYOSYS),1)
733 $(INSTALL_SUDO) mkdir -p $(PYTHON_DESTDIR)/pyosys
734 $(INSTALL_SUDO) cp libyosys.so $(PYTHON_DESTDIR)/pyosys/
735 $(INSTALL_SUDO) cp misc/__init__.py $(PYTHON_DESTDIR)/pyosys/
736 endif
737 endif
738
739 uninstall:
740 $(INSTALL_SUDO) rm -vf $(addprefix $(DESTDIR)$(BINDIR)/,$(notdir $(TARGETS)))
741 $(INSTALL_SUDO) rm -rvf $(DESTDIR)$(DATDIR)
742 ifeq ($(ENABLE_LIBYOSYS),1)
743 $(INSTALL_SUDO) rm -vf $(DESTDIR)$(LIBDIR)/libyosys.so
744 ifeq ($(ENABLE_PYOSYS),1)
745 $(INSTALL_SUDO) rm -vf $(PYTHON_DESTDIR)/pyosys/libyosys.so
746 $(INSTALL_SUDO) rm -vf $(PYTHON_DESTDIR)/pyosys/__init__.py
747 $(INSTALL_SUDO) rmdir $(PYTHON_DESTDIR)/pyosys
748 endif
749 endif
750
751 update-manual: $(TARGETS) $(EXTRA_TARGETS)
752 cd manual && ../yosys -p 'help -write-tex-command-reference-manual'
753
754 manual: $(TARGETS) $(EXTRA_TARGETS)
755 cd manual && bash appnotes.sh
756 cd manual && bash presentation.sh
757 cd manual && bash manual.sh
758
759 clean:
760 rm -rf share
761 rm -rf kernel/*.pyh
762 if test -d manual; then cd manual && sh clean.sh; fi
763 rm -f $(OBJS) $(GENFILES) $(TARGETS) $(EXTRA_TARGETS) $(EXTRA_OBJS) $(PY_WRAP_INCLUDES) $(PY_WRAPPER_FILE).cc
764 rm -f kernel/version_*.o kernel/version_*.cc abc/abc-[0-9a-f]* abc/libabc-[0-9a-f]*.a
765 rm -f libs/*/*.d frontends/*/*.d passes/*/*.d backends/*/*.d kernel/*.d techlibs/*/*.d
766 rm -rf tests/asicworld/*.out tests/asicworld/*.log
767 rm -rf tests/hana/*.out tests/hana/*.log
768 rm -rf tests/simple/*.out tests/simple/*.log
769 rm -rf tests/memories/*.out tests/memories/*.log tests/memories/*.dmp
770 rm -rf tests/sat/*.log tests/techmap/*.log tests/various/*.log
771 rm -rf tests/bram/temp tests/fsm/temp tests/realmath/temp tests/share/temp tests/smv/temp
772 rm -rf vloghtb/Makefile vloghtb/refdat vloghtb/rtl vloghtb/scripts vloghtb/spec vloghtb/check_yosys vloghtb/vloghammer_tb.tar.bz2 vloghtb/temp vloghtb/log_test_*
773 rm -f tests/svinterfaces/*.log_stdout tests/svinterfaces/*.log_stderr tests/svinterfaces/dut_result.txt tests/svinterfaces/reference_result.txt tests/svinterfaces/a.out tests/svinterfaces/*_syn.v tests/svinterfaces/*.diff
774 rm -f tests/tools/cmp_tbdata
775
776 clean-abc:
777 $(MAKE) -C abc DEP= clean
778 rm -f yosys-abc$(EXE) yosys-libabc.a abc/abc-[0-9a-f]* abc/libabc-[0-9a-f]*.a
779
780 mrproper: clean
781 git clean -xdf
782
783 coverage:
784 ./yosys -qp 'help; help -all'
785 rm -rf coverage.info coverage_html
786 lcov --capture -d . --no-external -o coverage.info
787 genhtml coverage.info --output-directory coverage_html
788
789 qtcreator:
790 { for file in $(basename $(OBJS)); do \
791 for prefix in cc y l; do if [ -f $${file}.$${prefix} ]; then echo $$file.$${prefix}; fi; done \
792 done; find backends frontends kernel libs passes -type f \( -name '*.h' -o -name '*.hh' \); } > qtcreator.files
793 { echo .; find backends frontends kernel libs passes -type f \( -name '*.h' -o -name '*.hh' \) -printf '%h\n' | sort -u; } > qtcreator.includes
794 touch qtcreator.config qtcreator.creator
795
796 vcxsrc: $(GENFILES) $(EXTRA_TARGETS)
797 rm -rf yosys-win32-vcxsrc-$(YOSYS_VER){,.zip}
798 set -e; for f in `ls $(filter %.cc %.cpp,$(GENFILES)) $(addsuffix .cc,$(basename $(OBJS))) $(addsuffix .cpp,$(basename $(OBJS))) 2> /dev/null`; do \
799 echo "Analyse: $$f" >&2; cpp -std=c++11 -MM -I. -D_YOSYS_ $$f; done | sed 's,.*:,,; s,//*,/,g; s,/[^/]*/\.\./,/,g; y, \\,\n\n,;' | grep '^[^/]' | sort -u | grep -v kernel/version_ > srcfiles.txt
800 bash misc/create_vcxsrc.sh yosys-win32-vcxsrc $(YOSYS_VER) $(GIT_REV)
801 echo "namespace Yosys { extern const char *yosys_version_str; const char *yosys_version_str=\"Yosys (Version Information Unavailable)\"; }" > kernel/version.cc
802 zip yosys-win32-vcxsrc-$(YOSYS_VER)/genfiles.zip $(GENFILES) kernel/version.cc
803 zip -r yosys-win32-vcxsrc-$(YOSYS_VER).zip yosys-win32-vcxsrc-$(YOSYS_VER)/
804 rm -f srcfiles.txt kernel/version.cc
805
806 ifeq ($(CONFIG),mxe)
807 mxebin: $(TARGETS) $(EXTRA_TARGETS)
808 rm -rf yosys-win32-mxebin-$(YOSYS_VER){,.zip}
809 mkdir -p yosys-win32-mxebin-$(YOSYS_VER)
810 cp -r yosys.exe share/ yosys-win32-mxebin-$(YOSYS_VER)/
811 ifeq ($(ENABLE_ABC),1)
812 cp -r yosys-abc.exe abc/lib/x86/pthreadVC2.dll yosys-win32-mxebin-$(YOSYS_VER)/
813 endif
814 echo -en 'This is Yosys $(YOSYS_VER) for Win32.\r\n' > yosys-win32-mxebin-$(YOSYS_VER)/readme.txt
815 echo -en 'Documentation at http://www.clifford.at/yosys/.\r\n' >> yosys-win32-mxebin-$(YOSYS_VER)/readme.txt
816 zip -r yosys-win32-mxebin-$(YOSYS_VER).zip yosys-win32-mxebin-$(YOSYS_VER)/
817 endif
818
819 config-clean: clean
820 rm -f Makefile.conf
821
822 config-clang: clean
823 echo 'CONFIG := clang' > Makefile.conf
824
825 config-gcc: clean
826 echo 'CONFIG := gcc' > Makefile.conf
827
828 config-gcc-static: clean
829 echo 'CONFIG := gcc-static' > Makefile.conf
830 echo 'ENABLE_PLUGINS := 0' >> Makefile.conf
831 echo 'ENABLE_READLINE := 0' >> Makefile.conf
832 echo 'ENABLE_TCL := 0' >> Makefile.conf
833
834 config-gcc-4.8: clean
835 echo 'CONFIG := gcc-4.8' > Makefile.conf
836
837 config-afl-gcc: clean
838 echo 'CONFIG := afl-gcc' > Makefile.conf
839
840 config-emcc: clean
841 echo 'CONFIG := emcc' > Makefile.conf
842 echo 'ENABLE_TCL := 0' >> Makefile.conf
843 echo 'ENABLE_ABC := 0' >> Makefile.conf
844 echo 'ENABLE_PLUGINS := 0' >> Makefile.conf
845 echo 'ENABLE_READLINE := 0' >> Makefile.conf
846
847 config-mxe: clean
848 echo 'CONFIG := mxe' > Makefile.conf
849 echo 'ENABLE_PLUGINS := 0' >> Makefile.conf
850
851 config-msys2: clean
852 echo 'CONFIG := msys2' > Makefile.conf
853
854 config-msys2-64: clean
855 echo 'CONFIG := msys2-64' > Makefile.conf
856
857 config-cygwin: clean
858 echo 'CONFIG := cygwin' > Makefile.conf
859
860 config-gcov: clean
861 echo 'CONFIG := gcc' > Makefile.conf
862 echo 'ENABLE_GCOV := 1' >> Makefile.conf
863 echo 'ENABLE_DEBUG := 1' >> Makefile.conf
864
865 config-gprof: clean
866 echo 'CONFIG := gcc' > Makefile.conf
867 echo 'ENABLE_GPROF := 1' >> Makefile.conf
868
869 config-sudo:
870 echo "INSTALL_SUDO := sudo" >> Makefile.conf
871
872 echo-yosys-ver:
873 @echo "$(YOSYS_VER)"
874
875 echo-git-rev:
876 @echo "$(GIT_REV)"
877
878 -include libs/*/*.d
879 -include frontends/*/*.d
880 -include passes/*/*.d
881 -include backends/*/*.d
882 -include kernel/*.d
883 -include techlibs/*/*.d
884
885 .PHONY: all top-all abc test install install-abc manual clean mrproper qtcreator coverage vcxsrc mxebin
886 .PHONY: config-clean config-clang config-gcc config-gcc-static config-gcc-4.8 config-afl-gcc config-gprof config-sudo
887