692704e3b9ae579bccbc2e2960fe07f135ca4e8e
[microwatt.git] / Makefile
1 GHDL ?= ghdl
2 GHDLFLAGS=--std=08 -frelaxed
3 CFLAGS=-O3 -Wall
4
5 GHDLSYNTH ?= ghdl.so
6 YOSYS ?= yosys
7 NEXTPNR ?= nextpnr-ecp5
8 ECPPACK ?= ecppack
9 OPENOCD ?= openocd
10
11 # We need a version of GHDL built with either the LLVM or gcc backend.
12 # Fedora provides this, but other distros may not. Another option is to use
13 # the Docker image.
14 DOCKER ?= 0
15 PODMAN ?= 0
16
17 ifeq ($(DOCKER), 1)
18 DOCKERBIN=docker
19 USE_DOCKER=1
20 endif
21
22 ifeq ($(PODMAN), 1)
23 DOCKERBIN=podman
24 USE_DOCKER=1
25 endif
26
27 ifeq ($(USE_DOCKER), 1)
28 PWD = $(shell pwd)
29 DOCKERARGS = run --rm -v $(PWD):/src:z -w /src
30 GHDL = $(DOCKERBIN) $(DOCKERARGS) ghdl/ghdl:buster-llvm-7 ghdl
31 CC = $(DOCKERBIN) $(DOCKERARGS) ghdl/ghdl:buster-llvm-7 gcc
32 GHDLSYNTH = ghdl
33 YOSYS = $(DOCKERBIN) $(DOCKERARGS) ghdl/synth:beta yosys
34 NEXTPNR = $(DOCKERBIN) $(DOCKERARGS) ghdl/synth:nextpnr-ecp5 nextpnr-ecp5
35 ECPPACK = $(DOCKERBIN) $(DOCKERARGS) ghdl/synth:trellis ecppack
36 OPENOCD = $(DOCKERBIN) $(DOCKERARGS) --device /dev/bus/usb ghdl/synth:prog openocd
37 endif
38
39 all = core_tb icache_tb dcache_tb multiply_tb dmi_dtm_tb divider_tb \
40 rotator_tb countzero_tb wishbone_bram_tb soc_reset_tb
41
42 all: $(all)
43
44 core_files = decode_types.vhdl common.vhdl wishbone_types.vhdl fetch1.vhdl \
45 fetch2.vhdl utils.vhdl plru.vhdl cache_ram.vhdl icache.vhdl \
46 decode1.vhdl helpers.vhdl insn_helpers.vhdl gpr_hazard.vhdl \
47 cr_hazard.vhdl control.vhdl decode2.vhdl register_file.vhdl \
48 cr_file.vhdl crhelpers.vhdl ppc_fx_insns.vhdl rotator.vhdl \
49 logical.vhdl countzero.vhdl multiply.vhdl divider.vhdl execute1.vhdl \
50 loadstore1.vhdl mmu.vhdl dcache.vhdl writeback.vhdl core_debug.vhdl \
51 core.vhdl
52
53 soc_files = $(core_files) wishbone_arbiter.vhdl wishbone_bram_wrapper.vhdl sync_fifo.vhdl \
54 wishbone_debug_master.vhdl xics.vhdl syscon.vhdl soc.vhdl \
55 spi_rxtx.vhdl spi_flash_ctrl.vhdl
56
57
58 soc_sim_files = $(soc_files) sim_console.vhdl sim_uart.vhdl sim_bram_helpers.vhdl \
59 sim_bram.vhdl sim_jtag_socket.vhdl sim_jtag.vhdl dmi_dtm_xilinx.vhdl
60
61 soc_sim_c_files = sim_vhpi_c.c sim_bram_helpers_c.c sim_console_c.c \
62 sim_jtag_socket_c.c
63
64 soc_sim_obj_files=$(soc_sim_c_files:.c=.o)
65 comma := ,
66 soc_sim_link=$(patsubst %,-Wl$(comma)%,$(soc_sim_obj_files))
67
68 unisim_dir = sim-unisim
69 unisim_lib = $(unisim_dir)/unisim-obj08.cf
70 unisim_lib_files = $(unisim_dir)/BSCANE2.vhdl $(unisim_dir)/BUFG.vhdl \
71 $(unisim_dir)/unisim_vcomponents.vhdl
72 $(unisim_lib): $(unisim_lib_files)
73 ghdl -i --std=08 --work=unisim --workdir=$(unisim_dir) $^
74 GHDLFLAGS += -P$(unisim_dir)
75
76 core_tbs = multiply_tb divider_tb rotator_tb countzero_tb
77 soc_tbs = core_tb icache_tb dcache_tb dmi_dtm_tb wishbone_bram_tb
78 soc_flash_tbs = core_flash_tb
79 soc_dram_tbs = dram_tb core_dram_tb
80
81 ifneq ($(FLASH_MODEL_PATH),)
82 fmf_dir = $(FLASH_MODEL_PATH)/fmf
83 fmf_lib = $(fmf_dir)/fmf-obj08.cf
84 fmf_lib_files = $(wildcard $(fmf_dir)/*.vhd)
85 GHDLFLAGS += -P$(fmf_dir)
86 $(fmf_lib): $(fmf_lib_files)
87 ghdl -i --std=08 --work=fmf --workdir=$(fmf_dir) $^
88
89 flash_model_files=$(FLASH_MODEL_PATH)/s25fl128s.vhd
90 flash_model_files: $(fmf_lib)
91 else
92 flash_model_files=sim_no_flash.vhdl
93 fmf_lib=
94 endif
95
96 $(soc_flash_tbs): %: $(soc_sim_files) $(soc_sim_obj_files) $(unisim_lib) $(fmf_lib) $(flash_model_files) %.vhdl
97 $(GHDL) -c $(GHDLFLAGS) $(soc_sim_link) $(soc_sim_files) $(flash_model_files) $@.vhdl $(unisim_files) -e $@
98
99 $(soc_tbs): %: $(soc_sim_files) $(soc_sim_obj_files) $(unisim_lib) %.vhdl
100 $(GHDL) -c $(GHDLFLAGS) $(soc_sim_link) $(soc_sim_files) $@.vhdl -e $@
101
102 $(core_tbs): %: $(core_files) glibc_random.vhdl glibc_random_helpers.vhdl %.vhdl
103 $(GHDL) -c $(GHDLFLAGS) $(core_files) glibc_random.vhdl glibc_random_helpers.vhdl $@.vhdl -e $@
104
105 soc_reset_tb: fpga/soc_reset_tb.vhdl fpga/soc_reset.vhdl
106 $(GHDL) -c $(GHDLFLAGS) fpga/soc_reset_tb.vhdl fpga/soc_reset.vhdl -e $@
107
108 # LiteDRAM sim
109 VERILATOR_ROOT=$(shell verilator -getenv VERILATOR_ROOT 2>/dev/null)
110 ifeq (, $(VERILATOR_ROOT))
111 $(soc_dram_tbs):
112 $(error "Verilator is required to make this target !")
113 else
114
115 VERILATOR_CFLAGS=-O3
116 VERILATOR_FLAGS=-O3
117 verilated_dram: litedram/generated/sim/litedram_core.v
118 verilator $(VERILATOR_FLAGS) -CFLAGS $(VERILATOR_CFLAGS) -Wno-fatal --cc $< --trace
119 make -C obj_dir -f ../litedram/extras/sim_dram_verilate.mk VERILATOR_ROOT=$(VERILATOR_ROOT)
120
121 SIM_DRAM_CFLAGS = -I. -Iobj_dir -Ilitedram/generated/sim -I$(VERILATOR_ROOT)/include -I$(VERILATOR_ROOT)/include/vltstd
122 SIM_DRAM_CFLAGS += -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=1 -DVL_PRINTF=printf -faligned-new
123 sim_litedram_c.o: litedram/extras/sim_litedram_c.cpp verilated_dram
124 $(CC) $(CPPFLAGS) $(SIM_DRAM_CFLAGS) $(CFLAGS) -c $< -o $@
125
126 soc_dram_files = $(soc_files) litedram/extras/litedram-wrapper-l2.vhdl litedram/generated/sim/litedram-initmem.vhdl
127 soc_dram_sim_files = $(soc_sim_files) litedram/extras/sim_litedram.vhdl
128 soc_dram_sim_obj_files = $(soc_sim_obj_files) sim_litedram_c.o
129 dram_link_files=-Wl,obj_dir/Vlitedram_core__ALL.a -Wl,obj_dir/verilated.o -Wl,obj_dir/verilated_vcd_c.o -Wl,-lstdc++
130 soc_dram_sim_link=$(patsubst %,-Wl$(comma)%,$(soc_dram_sim_obj_files)) $(dram_link_files)
131
132 $(soc_dram_tbs): %: $(soc_dram_files) $(soc_dram_sim_files) $(soc_dram_sim_obj_files) $(flash_model_files) $(unisim_lib) $(fmf_lib) %.vhdl
133 $(GHDL) -c $(GHDLFLAGS) $(soc_dram_sim_link) $(soc_dram_files) $(soc_dram_sim_files) $(flash_model_files) $@.vhdl -e $@
134 endif
135
136 # Hello world
137 MEMORY_SIZE=8192
138 RAM_INIT_FILE=hello_world/hello_world.hex
139
140 # Micropython
141 #MEMORY_SIZE=393216
142 #RAM_INIT_FILE=micropython/firmware.hex
143
144 # OrangeCrab with ECP85
145 RESET_LOW=true
146 CLK_INPUT=50000000
147 CLK_FREQUENCY=50000000
148 LPF=constraints/orange-crab.lpf
149 PACKAGE=CSFBGA285
150 NEXTPNR_FLAGS=--um5g-85k --freq 50
151 OPENOCD_JTAG_CONFIG=openocd/olimex-arm-usb-tiny-h.cfg
152 OPENOCD_DEVICE_CONFIG=openocd/LFE5UM5G-85F.cfg
153
154 # ECP5-EVN
155 #RESET_LOW=true
156 #CLK_INPUT=12000000
157 #CLK_FREQUENCY=12000000
158 #LPF=constraints/ecp5-evn.lpf
159 #PACKAGE=CABGA381
160 #NEXTPNR_FLAGS=--um5g-85k --freq 12
161 #OPENOCD_JTAG_CONFIG=openocd/ecp5-evn.cfg
162 #OPENOCD_DEVICE_CONFIG=openocd/LFE5UM5G-85F.cfg
163
164 GHDL_IMAGE_GENERICS=-gMEMORY_SIZE=$(MEMORY_SIZE) -gRAM_INIT_FILE=$(RAM_INIT_FILE) \
165 -gRESET_LOW=$(RESET_LOW) -gCLK_INPUT=$(CLK_INPUT) -gCLK_FREQUENCY=$(CLK_FREQUENCY)
166
167 clkgen=fpga/clk_gen_bypass.vhd
168 toplevel=fpga/top-generic.vhdl
169 dmi_dtm=dmi_dtm_dummy.vhdl
170
171 fpga_files = $(core_files) $(soc_files) fpga/soc_reset.vhdl \
172 fpga/pp_fifo.vhd fpga/pp_soc_uart.vhd fpga/main_bram.vhdl
173
174 synth_files = $(core_files) $(soc_files) $(fpga_files) $(clkgen) $(toplevel) $(dmi_dtm)
175
176 microwatt.json: $(synth_files)
177 $(YOSYS) -m $(GHDLSYNTH) -p "ghdl --std=08 $(GHDL_IMAGE_GENERICS) $(GHDL_TARGET_GENERICS) $(synth_files) -e toplevel; synth_ecp5 -json $@"
178
179 microwatt.v: $(synth_files)
180 $(YOSYS) -m $(GHDLSYNTH) -p "ghdl --std=08 $(GHDL_IMAGE_GENERICS) $(GHDL_TARGET_GENERICS) $(synth_files) -e toplevel; write_verilog $@"
181
182 # Need to investigate why yosys is hitting verilator warnings, and eventually turn on -Wall
183 microwatt-verilator: microwatt.v verilator/microwatt-verilator.cpp verilator/uart-verilator.c
184 verilator -O3 -CFLAGS "-DCLK_FREQUENCY=$(CLK_FREQUENCY)" --assert --cc microwatt.v --exe verilator/microwatt-verilator.cpp verilator/uart-verilator.c -o $@ -Wno-CASEOVERLAP -Wno-UNOPTFLAT #--trace
185 make -C obj_dir -f Vmicrowatt.mk
186 @cp -f obj_dir/microwatt-verilator microwatt-verilator
187
188 microwatt_out.config: microwatt.json $(LPF)
189 $(NEXTPNR) --json $< --lpf $(LPF) --textcfg $@ $(NEXTPNR_FLAGS) --package $(PACKAGE)
190
191 microwatt.bit: microwatt_out.config
192 $(ECPPACK) --svf microwatt.svf $< $@
193
194 microwatt.svf: microwatt.bit
195
196 prog: microwatt.svf
197 $(OPENOCD) -f $(OPENOCD_JTAG_CONFIG) -f $(OPENOCD_DEVICE_CONFIG) -c "transport select jtag; init; svf $<; exit"
198
199 tests = $(sort $(patsubst tests/%.out,%,$(wildcard tests/*.out)))
200 tests_console = $(sort $(patsubst tests/%.console_out,%,$(wildcard tests/*.console_out)))
201
202 tests_console: $(tests_console)
203
204 check: $(tests) tests_console test_micropython test_micropython_long tests_unit
205
206 check_light: 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 test_micropython test_micropython_long tests_console tests_unit
207
208 $(tests): core_tb
209 @./scripts/run_test.sh $@
210
211 $(tests_console): core_tb
212 @./scripts/run_test_console.sh $@
213
214 test_micropython: core_tb
215 @./scripts/test_micropython.py
216
217 test_micropython_long: core_tb
218 @./scripts/test_micropython_long.py
219
220 tests_core_tb = $(patsubst %_tb,%_tb_test,$(core_tbs))
221 tests_soc_tb = $(patsubst %_tb,%_tb_test,$(soc_tbs))
222
223 %_test: %
224 ./$< --assert-level=error > /dev/null
225
226 tests_core: $(tests_core_tb)
227
228 tests_soc: $(tests_soc_tb)
229
230 # FIXME SOC tests have bit rotted, so disable for now
231 #tests_unit: tests_core tests_soc
232 tests_unit: tests_core
233
234 TAGS:
235 find . -name '*.vhdl' | xargs ./scripts/vhdltags
236
237 .PHONY: TAGS
238
239 _clean:
240 rm -f *.o *.cf $(all)
241 rm -f fpga/*.o fpga/*.cf
242 rm -f sim-unisim/*.o sim-unisim/*.cf
243 rm -f litedram/extras/*.o
244 rm -f TAGS
245 rm -f scripts/mw_debug/*.o
246 rm -f scripts/mw_debug/mw_debug
247 rm -f microwatt.bin microwatt.json microwatt.svf microwatt_out.config
248 rm -f microwatt.v microwatt-verilator
249 rm -rf obj_dir/
250
251 clean: _clean
252 make -f scripts/mw_debug/Makefile clean
253 make -f hello_world/Makefile clean
254
255 distclean: _clean
256 rm -f *~ fpga/*~ lib/*~ console/*~ include/*~
257 rm -rf litedram/build
258 rm -f litedram/extras/*~
259 rm -f litedram/gen-src/*~
260 rm -f litedram/gen-src/sdram_init/*~
261 make -f scripts/mw_debug/Makefile distclean
262 make -f hello_world/Makefile distclean
263
264 .PHONY: all prog check check_light clean distclean
265 .PRECIOUS: microwatt.json microwatt_out.config microwatt.bit