Try way that doesn't involve creating a new wire
[yosys.git] / Makefile
1
2 CONFIG := clang
3 # CONFIG := gcc
4 # CONFIG := gcc-4.8
5 # CONFIG := afl-gcc
6 # CONFIG := emcc
7 # CONFIG := mxe
8 # CONFIG := msys2
9 # CONFIG := msys2-64
10
11 # features (the more the better)
12 ENABLE_TCL := 1
13 ENABLE_ABC := 1
14 ENABLE_GLOB := 1
15 ENABLE_PLUGINS := 1
16 ENABLE_READLINE := 1
17 ENABLE_EDITLINE := 0
18 ENABLE_VERIFIC := 0
19 ENABLE_COVER := 1
20 ENABLE_LIBYOSYS := 0
21 ENABLE_PROTOBUF := 0
22
23 # python wrappers
24 ENABLE_PYOSYS := 0
25
26 # other configuration flags
27 ENABLE_GCOV := 0
28 ENABLE_GPROF := 0
29 ENABLE_DEBUG := 0
30 ENABLE_NDEBUG := 0
31 LINK_CURSES := 0
32 LINK_TERMCAP := 0
33 LINK_ABC := 0
34 # Needed for environments that don't have proper thread support (i.e. emscripten)
35 DISABLE_ABC_THREADS := 0
36
37 # clang sanitizers
38 SANITIZER =
39 # SANITIZER = address
40 # SANITIZER = memory
41 # SANITIZER = undefined
42 # SANITIZER = cfi
43
44
45 OS := $(shell uname -s)
46 PREFIX ?= /usr/local
47 INSTALL_SUDO :=
48
49 ifneq ($(wildcard Makefile.conf),)
50 include Makefile.conf
51 endif
52
53 BINDIR := $(PREFIX)/bin
54 LIBDIR := $(PREFIX)/lib
55 DATDIR := $(PREFIX)/share/yosys
56
57 EXE =
58 OBJS =
59 GENFILES =
60 EXTRA_OBJS =
61 EXTRA_TARGETS =
62 TARGETS = yosys$(EXE) yosys-config
63
64 PRETTY = 1
65 SMALL = 0
66
67 # Unit test
68 UNITESTPATH := tests/unit
69
70 all: top-all
71
72 YOSYS_SRC := $(dir $(firstword $(MAKEFILE_LIST)))
73 VPATH := $(YOSYS_SRC)
74
75 CXXFLAGS := $(CXXFLAGS) -Wall -Wextra -ggdb -I. -I"$(YOSYS_SRC)" -MD -D_YOSYS_ -fPIC -I$(PREFIX)/include
76 LDFLAGS := $(LDFLAGS) -L$(LIBDIR)
77 LDLIBS := $(LDLIBS) -lstdc++ -lm
78 PLUGIN_LDFLAGS :=
79
80 PKG_CONFIG ?= pkg-config
81 SED ?= sed
82 BISON ?= bison
83 STRIP ?= strip
84 AWK ?= awk
85
86 ifeq ($(OS), Darwin)
87 PLUGIN_LDFLAGS += -undefined dynamic_lookup
88
89 # homebrew search paths
90 ifneq ($(shell which brew),)
91 BREW_PREFIX := $(shell brew --prefix)/opt
92 $(info $$BREW_PREFIX is [${BREW_PREFIX}])
93 CXXFLAGS += -I$(BREW_PREFIX)/boost/include/boost
94 LDFLAGS += -L$(BREW_PREFIX)/boost/lib
95 CXXFLAGS += -I$(BREW_PREFIX)/readline/include
96 LDFLAGS += -L$(BREW_PREFIX)/readline/lib
97 PKG_CONFIG_PATH := $(BREW_PREFIX)/libffi/lib/pkgconfig:$(PKG_CONFIG_PATH)
98 PKG_CONFIG_PATH := $(BREW_PREFIX)/tcl-tk/lib/pkgconfig:$(PKG_CONFIG_PATH)
99 export PATH := $(BREW_PREFIX)/bison/bin:$(BREW_PREFIX)/gettext/bin:$(BREW_PREFIX)/flex/bin:$(PATH)
100
101 # macports search paths
102 else ifneq ($(shell which port),)
103 PORT_PREFIX := $(patsubst %/bin/port,%,$(shell which port))
104 CXXFLAGS += -I$(PORT_PREFIX)/include
105 LDFLAGS += -L$(PORT_PREFIX)/lib
106 PKG_CONFIG_PATH := $(PORT_PREFIX)/lib/pkgconfig:$(PKG_CONFIG_PATH)
107 export PATH := $(PORT_PREFIX)/bin:$(PATH)
108 endif
109
110 else
111 LDFLAGS += -rdynamic
112 LDLIBS += -lrt
113 endif
114
115 YOSYS_VER := 0.8+$(shell cd $(YOSYS_SRC) && test -e .git && { git log --author=clifford@clifford.at --oneline 4d4665b.. 2> /dev/null | wc -l; })
116 GIT_REV := $(shell cd $(YOSYS_SRC) && git rev-parse --short HEAD 2> /dev/null || echo UNKNOWN)
117 OBJS = kernel/version_$(GIT_REV).o
118
119 # set 'ABCREV = default' to use abc/ as it is
120 #
121 # Note: If you do ABC development, make sure that 'abc' in this directory
122 # is just a symlink to your actual ABC working directory, as 'make mrproper'
123 # will remove the 'abc' directory and you do not want to accidentally
124 # delete your work on ABC..
125 ABCREV = 3709744
126 ABCPULL = 1
127 ABCURL ?= https://github.com/berkeley-abc/abc
128 ABCMKARGS = CC="$(CXX)" CXX="$(CXX)" ABC_USE_LIBSTDCXX=1
129
130 # set ABCEXTERNAL = <abc-command> to use an external ABC instance
131 # Note: The in-tree ABC (yosys-abc) will not be installed when ABCEXTERNAL is set.
132 ABCEXTERNAL ?=
133
134 define newline
135
136
137 endef
138
139 ifneq ($(wildcard Makefile.conf),)
140 $(info $(subst $$--$$,$(newline),$(shell sed 's,^,[Makefile.conf] ,; s,$$,$$--$$,;' < Makefile.conf | tr -d '\n' | sed 's,\$$--\$$$$,,')))
141 include Makefile.conf
142 endif
143
144 ifeq ($(ENABLE_PYOSYS),1)
145 PYTHON_VERSION_TESTCODE := "import sys;t='{v[0]}.{v[1]}'.format(v=list(sys.version_info[:2]));print(t)"
146 PYTHON_EXECUTABLE := $(shell if python3 -c ""; then echo "python3"; else echo "python"; fi)
147 PYTHON_VERSION := $(shell $(PYTHON_EXECUTABLE) -c ""$(PYTHON_VERSION_TESTCODE)"")
148 PYTHON_MAJOR_VERSION := $(shell echo $(PYTHON_VERSION) | cut -f1 -d.)
149 PYTHON_PREFIX := $(shell $(PYTHON_EXECUTABLE)-config --prefix)
150 PYTHON_DESTDIR := $(PYTHON_PREFIX)/lib/python$(PYTHON_VERSION)/site-packages
151
152 # Reload Makefile.conf to override python specific variables if defined
153 ifneq ($(wildcard Makefile.conf),)
154 include Makefile.conf
155 endif
156
157 endif
158
159 ifeq ($(CONFIG),clang)
160 CXX = clang
161 LD = clang++
162 CXXFLAGS += -std=c++11 -Os
163 ABCMKARGS += ARCHFLAGS="-DABC_USE_STDINT_H"
164
165 ifneq ($(SANITIZER),)
166 $(info [Clang Sanitizer] $(SANITIZER))
167 CXXFLAGS += -g -O1 -fno-omit-frame-pointer -fno-optimize-sibling-calls -fsanitize=$(SANITIZER)
168 LDFLAGS += -g -fsanitize=$(SANITIZER)
169 ifeq ($(SANITIZER),address)
170 ENABLE_COVER := 0
171 endif
172 ifeq ($(SANITIZER),memory)
173 CXXFLAGS += -fPIE -fsanitize-memory-track-origins
174 LDFLAGS += -fPIE -fsanitize-memory-track-origins
175 endif
176 ifeq ($(SANITIZER),cfi)
177 CXXFLAGS += -flto
178 LDFLAGS += -flto
179 endif
180 endif
181
182 else ifeq ($(CONFIG),gcc)
183 CXX = gcc
184 LD = gcc
185 CXXFLAGS += -std=c++11 -Os
186 ABCMKARGS += ARCHFLAGS="-DABC_USE_STDINT_H"
187
188 else ifeq ($(CONFIG),gcc-static)
189 LD = $(CXX)
190 LDFLAGS := $(filter-out -rdynamic,$(LDFLAGS)) -static
191 LDLIBS := $(filter-out -lrt,$(LDLIBS))
192 CXXFLAGS := $(filter-out -fPIC,$(CXXFLAGS))
193 CXXFLAGS += -std=c++11 -Os
194 ABCMKARGS = CC="$(CC)" CXX="$(CXX)" LD="$(LD)" ABC_USE_LIBSTDCXX=1 LIBS="-lm -lpthread -static" OPTFLAGS="-O" \
195 ARCHFLAGS="-DABC_USE_STDINT_H -DABC_NO_DYNAMIC_LINKING=1 -Wno-unused-but-set-variable $(ARCHFLAGS)" ABC_USE_NO_READLINE=1
196 ifeq ($(DISABLE_ABC_THREADS),1)
197 ABCMKARGS += "ABC_USE_NO_PTHREADS=1"
198 endif
199
200 else ifeq ($(CONFIG),gcc-4.8)
201 CXX = gcc-4.8
202 LD = gcc-4.8
203 CXXFLAGS += -std=c++11 -Os
204 ABCMKARGS += ARCHFLAGS="-DABC_USE_STDINT_H"
205
206 else ifeq ($(CONFIG),afl-gcc)
207 CXX = AFL_QUIET=1 AFL_HARDEN=1 afl-gcc
208 LD = AFL_QUIET=1 AFL_HARDEN=1 afl-gcc
209 CXXFLAGS += -std=c++11 -Os
210 ABCMKARGS += ARCHFLAGS="-DABC_USE_STDINT_H"
211
212 else ifeq ($(CONFIG),cygwin)
213 CXX = gcc
214 LD = gcc
215 CXXFLAGS += -std=gnu++11 -Os
216 ABCMKARGS += ARCHFLAGS="-DABC_USE_STDINT_H"
217
218 else ifeq ($(CONFIG),emcc)
219 CXX = emcc
220 LD = emcc
221 CXXFLAGS := -std=c++11 $(filter-out -fPIC -ggdb,$(CXXFLAGS))
222 ABCMKARGS += ARCHFLAGS="-DABC_USE_STDINT_H -DABC_MEMALIGN=8"
223 EMCCFLAGS := -Os -Wno-warn-absolute-paths
224 EMCCFLAGS += --memory-init-file 0 --embed-file share -s NO_EXIT_RUNTIME=1
225 EMCCFLAGS += -s EXPORTED_FUNCTIONS="['_main','_run','_prompt','_errmsg']"
226 EMCCFLAGS += -s TOTAL_MEMORY=128*1024*1024
227 # https://github.com/kripken/emscripten/blob/master/src/settings.js
228 CXXFLAGS += $(EMCCFLAGS)
229 LDFLAGS += $(EMCCFLAGS)
230 LDLIBS =
231 EXE = .js
232
233 TARGETS := $(filter-out yosys-config,$(TARGETS))
234 EXTRA_TARGETS += yosysjs-$(YOSYS_VER).zip
235
236 ifeq ($(ENABLE_ABC),1)
237 LINK_ABC := 1
238 DISABLE_ABC_THREADS := 1
239 endif
240
241 viz.js:
242 wget -O viz.js.part https://github.com/mdaines/viz.js/releases/download/0.0.3/viz.js
243 mv viz.js.part viz.js
244
245 yosysjs-$(YOSYS_VER).zip: yosys.js viz.js misc/yosysjs/*
246 rm -rf yosysjs-$(YOSYS_VER) yosysjs-$(YOSYS_VER).zip
247 mkdir -p yosysjs-$(YOSYS_VER)
248 cp viz.js misc/yosysjs/* yosys.js yosysjs-$(YOSYS_VER)/
249 zip -r yosysjs-$(YOSYS_VER).zip yosysjs-$(YOSYS_VER)
250
251 yosys.html: misc/yosys.html
252 $(P) cp misc/yosys.html yosys.html
253
254 else ifeq ($(CONFIG),mxe)
255 PKG_CONFIG = /usr/local/src/mxe/usr/bin/i686-w64-mingw32.static-pkg-config
256 CXX = /usr/local/src/mxe/usr/bin/i686-w64-mingw32.static-g++
257 LD = /usr/local/src/mxe/usr/bin/i686-w64-mingw32.static-g++
258 CXXFLAGS += -std=c++11 -Os -D_POSIX_SOURCE -DYOSYS_MXE_HACKS -Wno-attributes
259 CXXFLAGS := $(filter-out -fPIC,$(CXXFLAGS))
260 LDFLAGS := $(filter-out -rdynamic,$(LDFLAGS)) -s
261 LDLIBS := $(filter-out -lrt,$(LDLIBS))
262 ABCMKARGS += ARCHFLAGS="-DWIN32_NO_DLL -DHAVE_STRUCT_TIMESPEC -fpermissive -w"
263 ABCMKARGS += LIBS="lib/x86/pthreadVC2.lib -s" ABC_USE_NO_READLINE=1 CC="/usr/local/src/mxe/usr/bin/i686-w64-mingw32.static-gcc"
264 EXE = .exe
265
266 else ifeq ($(CONFIG),msys2)
267 CXX = i686-w64-mingw32-g++
268 LD = i686-w64-mingw32-g++
269 CXXFLAGS += -std=c++11 -Os -D_POSIX_SOURCE -DYOSYS_WIN32_UNIX_DIR
270 CXXFLAGS := $(filter-out -fPIC,$(CXXFLAGS))
271 LDFLAGS := $(filter-out -rdynamic,$(LDFLAGS)) -s
272 LDLIBS := $(filter-out -lrt,$(LDLIBS))
273 ABCMKARGS += ARCHFLAGS="-DABC_USE_STDINT_H -DWIN32_NO_DLL -DHAVE_STRUCT_TIMESPEC -fpermissive -w"
274 ABCMKARGS += LIBS="-lpthread -s" ABC_USE_NO_READLINE=0 CC="i686-w64-mingw32-gcc" CXX="$(CXX)"
275 EXE = .exe
276
277 else ifeq ($(CONFIG),msys2-64)
278 CXX = x86_64-w64-mingw32-g++
279 LD = x86_64-w64-mingw32-g++
280 CXXFLAGS += -std=c++11 -Os -D_POSIX_SOURCE -DYOSYS_WIN32_UNIX_DIR
281 CXXFLAGS := $(filter-out -fPIC,$(CXXFLAGS))
282 LDFLAGS := $(filter-out -rdynamic,$(LDFLAGS)) -s
283 LDLIBS := $(filter-out -lrt,$(LDLIBS))
284 ABCMKARGS += ARCHFLAGS="-DABC_USE_STDINT_H -DWIN32_NO_DLL -DHAVE_STRUCT_TIMESPEC -fpermissive -w"
285 ABCMKARGS += LIBS="-lpthread -s" ABC_USE_NO_READLINE=0 CC="x86_64-w64-mingw32-gcc" CXX="$(CXX)"
286 EXE = .exe
287
288 else ifneq ($(CONFIG),none)
289 $(error Invalid CONFIG setting '$(CONFIG)'. Valid values: clang, gcc, gcc-4.8, emcc, mxe, msys2, msys2-64)
290 endif
291
292 ifeq ($(ENABLE_LIBYOSYS),1)
293 TARGETS += libyosys.so
294 endif
295
296 ifeq ($(ENABLE_PYOSYS),1)
297
298 #Detect name of boost_python library. Some distros usbe boost_python-py<version>, other boost_python<version>, some only use the major version number, some a concatenation of major and minor version numbers
299 ifeq ($(OS), Darwin)
300 BOOST_PYTHON_LIB ?= $(shell \
301 if echo "int main(int argc, char ** argv) {return 0;}" | $(CXX) -xc -o /dev/null $(shell $(PYTHON_EXECUTABLE)-config --ldflags) -lboost_python-py$(subst .,,$(PYTHON_VERSION)) - > /dev/null 2>&1; then echo "-lboost_python-py$(subst .,,$(PYTHON_VERSION))"; else \
302 if echo "int main(int argc, char ** argv) {return 0;}" | $(CXX) -xc -o /dev/null $(shell $(PYTHON_EXECUTABLE)-config --ldflags) -lboost_python-py$(subst .,,$(PYTHON_MAJOR_VERSION)) - > /dev/null 2>&1; then echo "-lboost_python-py$(subst .,,$(PYTHON_MAJOR_VERSION))"; else \
303 if echo "int main(int argc, char ** argv) {return 0;}" | $(CXX) -xc -o /dev/null $(shell $(PYTHON_EXECUTABLE)-config --ldflags) -lboost_python$(subst .,,$(PYTHON_VERSION)) - > /dev/null 2>&1; then echo "-lboost_python$(subst .,,$(PYTHON_VERSION))"; else \
304 if echo "int main(int argc, char ** argv) {return 0;}" | $(CXX) -xc -o /dev/null $(shell $(PYTHON_EXECUTABLE)-config --ldflags) -lboost_python$(subst .,,$(PYTHON_MAJOR_VERSION)) - > /dev/null 2>&1; then echo "-lboost_python$(subst .,,$(PYTHON_MAJOR_VERSION))"; else \
305 echo ""; fi; fi; fi; fi;)
306 else
307 BOOST_PYTHON_LIB ?= $(shell \
308 if echo "int main(int argc, char ** argv) {return 0;}" | $(CXX) -xc -o /dev/null `$(PYTHON_EXECUTABLE)-config --libs` -lboost_python-py$(subst .,,$(PYTHON_VERSION)) - > /dev/null 2>&1; then echo "-lboost_python-py$(subst .,,$(PYTHON_VERSION))"; else \
309 if echo "int main(int argc, char ** argv) {return 0;}" | $(CXX) -xc -o /dev/null `$(PYTHON_EXECUTABLE)-config --libs` -lboost_python-py$(subst .,,$(PYTHON_MAJOR_VERSION)) - > /dev/null 2>&1; then echo "-lboost_python-py$(subst .,,$(PYTHON_MAJOR_VERSION))"; else \
310 if echo "int main(int argc, char ** argv) {return 0;}" | $(CXX) -xc -o /dev/null `$(PYTHON_EXECUTABLE)-config --libs` -lboost_python$(subst .,,$(PYTHON_VERSION)) - > /dev/null 2>&1; then echo "-lboost_python$(subst .,,$(PYTHON_VERSION))"; else \
311 if echo "int main(int argc, char ** argv) {return 0;}" | $(CXX) -xc -o /dev/null `$(PYTHON_EXECUTABLE)-config --libs` -lboost_python$(subst .,,$(PYTHON_MAJOR_VERSION)) - > /dev/null 2>&1; then echo "-lboost_python$(subst .,,$(PYTHON_MAJOR_VERSION))"; else \
312 echo ""; fi; fi; fi; fi;)
313 endif
314
315 ifeq ($(BOOST_PYTHON_LIB),)
316 $(error BOOST_PYTHON_LIB could not be detected. Please define manualy)
317 endif
318
319 ifeq ($(OS), Darwin)
320 ifeq ($(PYTHON_MAJOR_VERSION),3)
321 LDLIBS += $(shell $(PYTHON_EXECUTABLE)-config --ldflags) $(BOOST_PYTHON_LIB) -lboost_system -lboost_filesystem
322 CXXFLAGS += $(shell $(PYTHON_EXECUTABLE)-config --includes) -DWITH_PYTHON
323 else
324 LDLIBS += $(shell $(PYTHON_EXECUTABLE)-config --ldflags) $(BOOST_PYTHON_LIB) -lboost_system -lboost_filesystem
325 CXXFLAGS += $(shell $(PYTHON_EXECUTABLE)-config --includes) -DWITH_PYTHON
326 endif
327 else
328 ifeq ($(PYTHON_MAJOR_VERSION),3)
329 LDLIBS += $(shell $(PYTHON_EXECUTABLE)-config --libs) $(BOOST_PYTHON_LIB) -lboost_system -lboost_filesystem
330 CXXFLAGS += $(shell $(PYTHON_EXECUTABLE)-config --includes) -DWITH_PYTHON
331 else
332 LDLIBS += $(shell $(PYTHON_EXECUTABLE)-config --libs) $(BOOST_PYTHON_LIB) -lboost_system -lboost_filesystem
333 CXXFLAGS += $(shell $(PYTHON_EXECUTABLE)-config --includes) -DWITH_PYTHON
334 endif
335 endif
336
337 ifeq ($(ENABLE_PYOSYS),1)
338 PY_WRAPPER_FILE = kernel/python_wrappers
339 OBJS += $(PY_WRAPPER_FILE).o
340 PY_GEN_SCRIPT= py_wrap_generator
341 PY_WRAP_INCLUDES := $(shell python$(PYTHON_VERSION) -c "from misc import $(PY_GEN_SCRIPT); $(PY_GEN_SCRIPT).print_includes()")
342 endif
343 endif
344
345 ifeq ($(ENABLE_READLINE),1)
346 CXXFLAGS += -DYOSYS_ENABLE_READLINE
347 ifeq ($(OS), FreeBSD)
348 CXXFLAGS += -I/usr/local/include
349 endif
350 LDLIBS += -lreadline
351 ifeq ($(LINK_CURSES),1)
352 LDLIBS += -lcurses
353 ABCMKARGS += "ABC_READLINE_LIBRARIES=-lcurses -lreadline"
354 endif
355 ifeq ($(LINK_TERMCAP),1)
356 LDLIBS += -ltermcap
357 ABCMKARGS += "ABC_READLINE_LIBRARIES=-lreadline -ltermcap"
358 endif
359 ifeq ($(CONFIG),mxe)
360 LDLIBS += -ltermcap
361 endif
362 else
363 ifeq ($(ENABLE_EDITLINE),1)
364 CXXFLAGS += -DYOSYS_ENABLE_EDITLINE
365 LDLIBS += -ledit -ltinfo -lbsd
366 else
367 ABCMKARGS += "ABC_USE_NO_READLINE=1"
368 endif
369 endif
370
371 ifeq ($(DISABLE_ABC_THREADS),1)
372 ABCMKARGS += "ABC_USE_NO_PTHREADS=1"
373 endif
374
375 ifeq ($(ENABLE_PLUGINS),1)
376 CXXFLAGS += $(shell PKG_CONFIG_PATH=$(PKG_CONFIG_PATH) $(PKG_CONFIG) --silence-errors --cflags libffi) -DYOSYS_ENABLE_PLUGINS
377 LDLIBS += $(shell PKG_CONFIG_PATH=$(PKG_CONFIG_PATH) $(PKG_CONFIG) --silence-errors --libs libffi || echo -lffi)
378 ifneq ($(OS), FreeBSD)
379 LDLIBS += -ldl
380 endif
381 endif
382
383 ifeq ($(ENABLE_GLOB),1)
384 CXXFLAGS += -DYOSYS_ENABLE_GLOB
385 endif
386
387 ifeq ($(ENABLE_TCL),1)
388 TCL_VERSION ?= tcl$(shell bash -c "tclsh <(echo 'puts [info tclversion]')")
389 ifeq ($(OS), FreeBSD)
390 TCL_INCLUDE ?= /usr/local/include/$(TCL_VERSION)
391 else
392 TCL_INCLUDE ?= /usr/include/$(TCL_VERSION)
393 endif
394
395 ifeq ($(CONFIG),mxe)
396 CXXFLAGS += -DYOSYS_ENABLE_TCL
397 LDLIBS += -ltcl86 -lwsock32 -lws2_32 -lnetapi32 -lz
398 else
399 CXXFLAGS += $(shell PKG_CONFIG_PATH=$(PKG_CONFIG_PATH) $(PKG_CONFIG) --silence-errors --cflags tcl || echo -I$(TCL_INCLUDE)) -DYOSYS_ENABLE_TCL
400 ifeq ($(OS), FreeBSD)
401 # FreeBSD uses tcl8.6, but lib is named "libtcl86"
402 LDLIBS += $(shell PKG_CONFIG_PATH=$(PKG_CONFIG_PATH) $(PKG_CONFIG) --silence-errors --libs tcl || echo -l$(TCL_VERSION) | tr -d '.')
403 else
404 LDLIBS += $(shell PKG_CONFIG_PATH=$(PKG_CONFIG_PATH) $(PKG_CONFIG) --silence-errors --libs tcl || echo -l$(TCL_VERSION))
405 endif
406 endif
407 endif
408
409 ifeq ($(ENABLE_GCOV),1)
410 CXXFLAGS += --coverage
411 LDFLAGS += --coverage
412 endif
413
414 ifeq ($(ENABLE_GPROF),1)
415 CXXFLAGS += -pg
416 LDFLAGS += -pg
417 endif
418
419 ifeq ($(ENABLE_NDEBUG),1)
420 CXXFLAGS := -O3 -DNDEBUG $(filter-out -Os -ggdb,$(CXXFLAGS))
421 endif
422
423 ifeq ($(ENABLE_DEBUG),1)
424 ifeq ($(CONFIG),clang)
425 CXXFLAGS := -O0 -DDEBUG $(filter-out -Os,$(CXXFLAGS))
426 else
427 CXXFLAGS := -Og -DDEBUG $(filter-out -Os,$(CXXFLAGS))
428 endif
429 endif
430
431 ifeq ($(ENABLE_ABC),1)
432 CXXFLAGS += -DYOSYS_ENABLE_ABC
433 ifeq ($(LINK_ABC),1)
434 CXXFLAGS += -DYOSYS_LINK_ABC
435 ifeq ($(DISABLE_ABC_THREADS),0)
436 LDLIBS += -lpthread
437 endif
438 else
439 ifeq ($(ABCEXTERNAL),)
440 TARGETS += yosys-abc$(EXE)
441 endif
442 endif
443 endif
444
445 ifeq ($(ENABLE_VERIFIC),1)
446 VERIFIC_DIR ?= /usr/local/src/verific_lib
447 VERIFIC_COMPONENTS ?= verilog vhdl database util containers hier_tree
448 CXXFLAGS += $(patsubst %,-I$(VERIFIC_DIR)/%,$(VERIFIC_COMPONENTS)) -DYOSYS_ENABLE_VERIFIC
449 ifeq ($(OS), Darwin)
450 LDLIBS += $(patsubst %,$(VERIFIC_DIR)/%/*-mac.a,$(VERIFIC_COMPONENTS)) -lz
451 else
452 LDLIBS += $(patsubst %,$(VERIFIC_DIR)/%/*-linux.a,$(VERIFIC_COMPONENTS)) -lz
453 endif
454 endif
455
456 ifeq ($(ENABLE_PROTOBUF),1)
457 LDLIBS += $(shell pkg-config --cflags --libs protobuf)
458 endif
459
460 ifeq ($(ENABLE_COVER),1)
461 CXXFLAGS += -DYOSYS_ENABLE_COVER
462 endif
463
464 define add_share_file
465 EXTRA_TARGETS += $(subst //,/,$(1)/$(notdir $(2)))
466 $(subst //,/,$(1)/$(notdir $(2))): $(2)
467 $$(P) mkdir -p $(1)
468 $$(Q) cp "$(YOSYS_SRC)"/$(2) $(subst //,/,$(1)/$(notdir $(2)))
469 endef
470
471 define add_gen_share_file
472 EXTRA_TARGETS += $(subst //,/,$(1)/$(notdir $(2)))
473 $(subst //,/,$(1)/$(notdir $(2))): $(2)
474 $$(P) mkdir -p $(1)
475 $$(Q) cp $(2) $(subst //,/,$(1)/$(notdir $(2)))
476 endef
477
478 define add_include_file
479 $(eval $(call add_share_file,$(dir share/include/$(1)),$(1)))
480 endef
481
482 ifeq ($(PRETTY), 1)
483 P_STATUS = 0
484 P_OFFSET = 0
485 P_UPDATE = $(eval P_STATUS=$(shell echo $(OBJS) yosys$(EXE) | $(AWK) 'BEGIN { RS = " "; I = $(P_STATUS)+0; } $$1 == "$@" && NR > I { I = NR; } END { print I; }'))
486 P_SHOW = [$(shell $(AWK) "BEGIN { N=$(words $(OBJS) yosys$(EXE)); printf \"%3d\", $(P_OFFSET)+90*$(P_STATUS)/N; exit; }")%]
487 P = @echo "$(if $(findstring $@,$(TARGETS) $(EXTRA_TARGETS)),$(eval P_OFFSET = 10))$(call P_UPDATE)$(call P_SHOW) Building $@";
488 Q = @
489 S = -s
490 else
491 P_SHOW = ->
492 P =
493 Q =
494 S =
495 endif
496
497 $(eval $(call add_include_file,kernel/yosys.h))
498 $(eval $(call add_include_file,kernel/hashlib.h))
499 $(eval $(call add_include_file,kernel/log.h))
500 $(eval $(call add_include_file,kernel/rtlil.h))
501 $(eval $(call add_include_file,kernel/register.h))
502 $(eval $(call add_include_file,kernel/celltypes.h))
503 $(eval $(call add_include_file,kernel/celledges.h))
504 $(eval $(call add_include_file,kernel/consteval.h))
505 $(eval $(call add_include_file,kernel/sigtools.h))
506 $(eval $(call add_include_file,kernel/modtools.h))
507 $(eval $(call add_include_file,kernel/macc.h))
508 $(eval $(call add_include_file,kernel/utils.h))
509 $(eval $(call add_include_file,kernel/satgen.h))
510 $(eval $(call add_include_file,libs/ezsat/ezsat.h))
511 $(eval $(call add_include_file,libs/ezsat/ezminisat.h))
512 $(eval $(call add_include_file,libs/sha1/sha1.h))
513 $(eval $(call add_include_file,passes/fsm/fsmdata.h))
514 $(eval $(call add_include_file,frontends/ast/ast.h))
515 $(eval $(call add_include_file,backends/ilang/ilang_backend.h))
516
517 OBJS += kernel/driver.o kernel/register.o kernel/rtlil.o kernel/log.o kernel/calc.o kernel/yosys.o
518 OBJS += kernel/cellaigs.o kernel/celledges.o
519
520 kernel/log.o: CXXFLAGS += -DYOSYS_SRC='"$(YOSYS_SRC)"'
521 kernel/yosys.o: CXXFLAGS += -DYOSYS_DATDIR='"$(DATDIR)"'
522
523 OBJS += libs/bigint/BigIntegerAlgorithms.o libs/bigint/BigInteger.o libs/bigint/BigIntegerUtils.o
524 OBJS += libs/bigint/BigUnsigned.o libs/bigint/BigUnsignedInABase.o
525
526 OBJS += libs/sha1/sha1.o
527
528 ifneq ($(SMALL),1)
529
530 OBJS += libs/subcircuit/subcircuit.o
531
532 OBJS += libs/ezsat/ezsat.o
533 OBJS += libs/ezsat/ezminisat.o
534
535 OBJS += libs/minisat/Options.o
536 OBJS += libs/minisat/SimpSolver.o
537 OBJS += libs/minisat/Solver.o
538 OBJS += libs/minisat/System.o
539
540 include $(YOSYS_SRC)/frontends/*/Makefile.inc
541 include $(YOSYS_SRC)/passes/*/Makefile.inc
542 include $(YOSYS_SRC)/backends/*/Makefile.inc
543 include $(YOSYS_SRC)/techlibs/*/Makefile.inc
544
545 else
546
547 include frontends/verilog/Makefile.inc
548 include frontends/ilang/Makefile.inc
549 include frontends/ast/Makefile.inc
550 include frontends/blif/Makefile.inc
551
552 OBJS += passes/hierarchy/hierarchy.o
553 OBJS += passes/cmds/select.o
554 OBJS += passes/cmds/show.o
555 OBJS += passes/cmds/stat.o
556 OBJS += passes/cmds/cover.o
557 OBJS += passes/cmds/design.o
558 OBJS += passes/cmds/plugin.o
559
560 include passes/proc/Makefile.inc
561 include passes/opt/Makefile.inc
562 include passes/techmap/Makefile.inc
563
564 include backends/verilog/Makefile.inc
565 include backends/ilang/Makefile.inc
566
567 include techlibs/common/Makefile.inc
568
569 endif
570
571 ifeq ($(LINK_ABC),1)
572 OBJS += yosys-libabc.a
573 endif
574
575 top-all: $(TARGETS) $(EXTRA_TARGETS)
576 @echo ""
577 @echo " Build successful."
578 @echo ""
579
580 ifeq ($(CONFIG),emcc)
581 yosys.js: $(filter-out yosysjs-$(YOSYS_VER).zip,$(EXTRA_TARGETS))
582 endif
583
584 yosys$(EXE): $(OBJS)
585 $(P) $(LD) -o yosys$(EXE) $(LDFLAGS) $(OBJS) $(LDLIBS)
586
587 libyosys.so: $(filter-out kernel/driver.o,$(OBJS))
588 ifeq ($(OS), Darwin)
589 $(P) $(LD) -o libyosys.so -shared -Wl,-install_name,libyosys.so $(LDFLAGS) $^ $(LDLIBS)
590 else
591 $(P) $(LD) -o libyosys.so -shared -Wl,-soname,libyosys.so $(LDFLAGS) $^ $(LDLIBS)
592 endif
593
594 %.o: %.cc
595 $(Q) mkdir -p $(dir $@)
596 $(P) $(CXX) -o $@ -c $(CPPFLAGS) $(CXXFLAGS) $<
597
598 %.pyh: %.h
599 $(Q) mkdir -p $(dir $@)
600 $(P) cat $< | grep -E -v "#[ ]*(include|error)" | $(LD) -x c++ -o $@ -E -P -
601
602 ifeq ($(ENABLE_PYOSYS),1)
603 $(PY_WRAPPER_FILE).cc: misc/$(PY_GEN_SCRIPT).py $(PY_WRAP_INCLUDES)
604 $(Q) mkdir -p $(dir $@)
605 $(P) python$(PYTHON_VERSION) -c "from misc import $(PY_GEN_SCRIPT); $(PY_GEN_SCRIPT).gen_wrappers(\"$(PY_WRAPPER_FILE).cc\")"
606 endif
607
608 %.o: %.cpp
609 $(Q) mkdir -p $(dir $@)
610 $(P) $(CXX) -o $@ -c $(CPPFLAGS) $(CXXFLAGS) $<
611
612 YOSYS_VER_STR := Yosys $(YOSYS_VER) (git sha1 $(GIT_REV), $(notdir $(CXX)) $(shell \
613 $(CXX) --version | tr ' ()' '\n' | grep '^[0-9]' | head -n1) $(filter -f% -m% -O% -DNDEBUG,$(CXXFLAGS)))
614
615 kernel/version_$(GIT_REV).cc: $(YOSYS_SRC)/Makefile
616 $(P) rm -f kernel/version_*.o kernel/version_*.d kernel/version_*.cc
617 $(Q) mkdir -p kernel && echo "namespace Yosys { extern const char *yosys_version_str; const char *yosys_version_str=\"$(YOSYS_VER_STR)\"; }" > kernel/version_$(GIT_REV).cc
618
619 ifeq ($(ENABLE_VERIFIC),1)
620 CXXFLAGS_NOVERIFIC = $(foreach v,$(CXXFLAGS),$(if $(findstring $(VERIFIC_DIR),$(v)),,$(v)))
621 LDLIBS_NOVERIFIC = $(foreach v,$(LDLIBS),$(if $(findstring $(VERIFIC_DIR),$(v)),,$(v)))
622 else
623 CXXFLAGS_NOVERIFIC = $(CXXFLAGS)
624 LDLIBS_NOVERIFIC = $(LDLIBS)
625 endif
626
627 yosys-config: misc/yosys-config.in
628 $(P) $(SED) -e 's#@CXXFLAGS@#$(subst -I. -I"$(YOSYS_SRC)",-I"$(DATDIR)/include",$(strip $(CXXFLAGS_NOVERIFIC)))#;' \
629 -e 's#@CXX@#$(strip $(CXX))#;' -e 's#@LDFLAGS@#$(strip $(LDFLAGS) $(PLUGIN_LDFLAGS))#;' -e 's#@LDLIBS@#$(strip $(LDLIBS_NOVERIFIC))#;' \
630 -e 's#@BINDIR@#$(strip $(BINDIR))#;' -e 's#@DATDIR@#$(strip $(DATDIR))#;' < $< > yosys-config
631 $(Q) chmod +x yosys-config
632
633 abc/abc-$(ABCREV)$(EXE) abc/libabc-$(ABCREV).a:
634 $(P)
635 ifneq ($(ABCREV),default)
636 $(Q) if test -d abc/.hg; then \
637 echo 'REEBE: NOP qverpgbel vf n ut jbexvat pbcl! Erzbir nop/ naq er-eha "znxr".' | tr 'A-Za-z' 'N-ZA-Mn-za-m'; false; \
638 fi
639 $(Q) if ( cd abc 2> /dev/null && ! git diff-index --quiet HEAD; ); then \
640 echo 'REEBE: NOP pbagnvaf ybpny zbqvsvpngvbaf! Frg NOPERI=qrsnhyg va Lbflf Znxrsvyr!' | tr 'A-Za-z' 'N-ZA-Mn-za-m'; false; \
641 fi
642 $(Q) if test "`cd abc 2> /dev/null && git rev-parse --short HEAD`" != "$(ABCREV)"; then \
643 test $(ABCPULL) -ne 0 || { echo 'REEBE: NOP abg hc gb qngr naq NOPCHYY frg gb 0 va Znxrsvyr!' | tr 'A-Za-z' 'N-ZA-Mn-za-m'; exit 1; }; \
644 echo "Pulling ABC from $(ABCURL):"; set -x; \
645 test -d abc || git clone $(ABCURL) abc; \
646 cd abc && $(MAKE) DEP= clean && git fetch origin master && git checkout $(ABCREV); \
647 fi
648 endif
649 $(Q) rm -f abc/abc-[0-9a-f]*
650 $(Q) cd abc && $(MAKE) $(S) $(ABCMKARGS) $(if $(filter %.a,$@),PROG="abc-$(ABCREV)",PROG="abc-$(ABCREV)$(EXE)") MSG_PREFIX="$(eval P_OFFSET = 5)$(call P_SHOW)$(eval P_OFFSET = 10) ABC: " $(if $(filter %.a,$@),libabc-$(ABCREV).a)
651
652 ifeq ($(ABCREV),default)
653 .PHONY: abc/abc-$(ABCREV)$(EXE)
654 .PHONY: abc/libabc-$(ABCREV).a
655 endif
656
657 yosys-abc$(EXE): abc/abc-$(ABCREV)$(EXE)
658 $(P) cp abc/abc-$(ABCREV)$(EXE) yosys-abc$(EXE)
659
660 yosys-libabc.a: abc/libabc-$(ABCREV).a
661 $(P) cp abc/libabc-$(ABCREV).a yosys-libabc.a
662
663 ifneq ($(SEED),)
664 SEEDOPT="-S $(SEED)"
665 else
666 SEEDOPT=""
667 endif
668
669 test: $(TARGETS) $(EXTRA_TARGETS)
670 +cd tests/simple && bash run-test.sh $(SEEDOPT)
671 +cd tests/hana && bash run-test.sh $(SEEDOPT)
672 +cd tests/asicworld && bash run-test.sh $(SEEDOPT)
673 # +cd tests/realmath && bash run-test.sh $(SEEDOPT)
674 +cd tests/share && bash run-test.sh $(SEEDOPT)
675 +cd tests/fsm && bash run-test.sh $(SEEDOPT)
676 +cd tests/techmap && bash run-test.sh
677 +cd tests/memories && bash run-test.sh $(SEEDOPT)
678 +cd tests/bram && bash run-test.sh $(SEEDOPT)
679 +cd tests/various && bash run-test.sh
680 +cd tests/sat && bash run-test.sh
681 +cd tests/svinterfaces && bash run-test.sh $(SEEDOPT)
682 +cd tests/opt && bash run-test.sh
683 +cd tests/aiger && bash run-test.sh
684 @echo ""
685 @echo " Passed \"make test\"."
686 @echo ""
687
688 VALGRIND ?= valgrind --error-exitcode=1 --leak-check=full --show-reachable=yes --errors-for-leak-kinds=all
689
690 vgtest: $(TARGETS) $(EXTRA_TARGETS)
691 $(VALGRIND) ./yosys -p 'setattr -mod -unset top; synth' $$( ls tests/simple/*.v | grep -v repwhile.v )
692 @echo ""
693 @echo " Passed \"make vgtest\"."
694 @echo ""
695
696 vloghtb: $(TARGETS) $(EXTRA_TARGETS)
697 +cd tests/vloghtb && bash run-test.sh
698 @echo ""
699 @echo " Passed \"make vloghtb\"."
700 @echo ""
701
702 ystests: $(TARGETS) $(EXTRA_TARGETS)
703 rm -rf tests/ystests
704 git clone https://github.com/YosysHQ/yosys-tests.git tests/ystests
705 +$(MAKE) PATH="$$PWD:$$PATH" -C tests/ystests
706 @echo ""
707 @echo " Finished \"make ystests\"."
708 @echo ""
709
710 # Unit test
711 unit-test: libyosys.so
712 @$(MAKE) -C $(UNITESTPATH) CXX="$(CXX)" CPPFLAGS="$(CPPFLAGS)" \
713 CXXFLAGS="$(CXXFLAGS)" LDLIBS="$(LDLIBS)" ROOTPATH="$(CURDIR)"
714
715 clean-unit-test:
716 @$(MAKE) -C $(UNITESTPATH) clean
717
718 install: $(TARGETS) $(EXTRA_TARGETS)
719 $(INSTALL_SUDO) mkdir -p $(DESTDIR)$(BINDIR)
720 $(INSTALL_SUDO) cp $(TARGETS) $(DESTDIR)$(BINDIR)
721 ifneq ($(filter yosys,$(TARGETS)),)
722 $(INSTALL_SUDO) $(STRIP) -S $(DESTDIR)$(BINDIR)/yosys
723 endif
724 ifneq ($(filter yosys-abc,$(TARGETS)),)
725 $(INSTALL_SUDO) $(STRIP) $(DESTDIR)$(BINDIR)/yosys-abc
726 endif
727 ifneq ($(filter yosys-filterlib,$(TARGETS)),)
728 $(INSTALL_SUDO) $(STRIP) $(DESTDIR)$(BINDIR)/yosys-filterlib
729 endif
730 $(INSTALL_SUDO) mkdir -p $(DESTDIR)$(DATDIR)
731 $(INSTALL_SUDO) cp -r share/. $(DESTDIR)$(DATDIR)/.
732 ifeq ($(ENABLE_LIBYOSYS),1)
733 $(INSTALL_SUDO) mkdir -p $(DESTDIR)$(LIBDIR)
734 $(INSTALL_SUDO) cp libyosys.so $(DESTDIR)$(LIBDIR)/
735 $(INSTALL_SUDO) $(STRIP) -S $(DESTDIR)$(LIBDIR)/libyosys.so
736 ifeq ($(ENABLE_PYOSYS),1)
737 $(INSTALL_SUDO) mkdir -p $(PYTHON_DESTDIR)/pyosys
738 $(INSTALL_SUDO) cp libyosys.so $(PYTHON_DESTDIR)/pyosys/
739 $(INSTALL_SUDO) cp misc/__init__.py $(PYTHON_DESTDIR)/pyosys/
740 endif
741 endif
742
743 uninstall:
744 $(INSTALL_SUDO) rm -vf $(addprefix $(DESTDIR)$(BINDIR)/,$(notdir $(TARGETS)))
745 $(INSTALL_SUDO) rm -rvf $(DESTDIR)$(DATDIR)
746 ifeq ($(ENABLE_LIBYOSYS),1)
747 $(INSTALL_SUDO) rm -vf $(DESTDIR)$(LIBDIR)/libyosys.so
748 ifeq ($(ENABLE_PYOSYS),1)
749 $(INSTALL_SUDO) rm -vf $(PYTHON_DESTDIR)/pyosys/libyosys.so
750 $(INSTALL_SUDO) rm -vf $(PYTHON_DESTDIR)/pyosys/__init__.py
751 $(INSTALL_SUDO) rmdir $(PYTHON_DESTDIR)/pyosys
752 endif
753 endif
754
755 update-manual: $(TARGETS) $(EXTRA_TARGETS)
756 cd manual && ../yosys -p 'help -write-tex-command-reference-manual'
757
758 manual: $(TARGETS) $(EXTRA_TARGETS)
759 cd manual && bash appnotes.sh
760 cd manual && bash presentation.sh
761 cd manual && bash manual.sh
762
763 clean:
764 rm -rf share
765 rm -rf kernel/*.pyh
766 if test -d manual; then cd manual && sh clean.sh; fi
767 rm -f $(OBJS) $(GENFILES) $(TARGETS) $(EXTRA_TARGETS) $(EXTRA_OBJS) $(PY_WRAP_INCLUDES) $(PY_WRAPPER_FILE).cc
768 rm -f kernel/version_*.o kernel/version_*.cc abc/abc-[0-9a-f]* abc/libabc-[0-9a-f]*.a
769 rm -f libs/*/*.d frontends/*/*.d passes/*/*.d backends/*/*.d kernel/*.d techlibs/*/*.d
770 rm -rf tests/asicworld/*.out tests/asicworld/*.log
771 rm -rf tests/hana/*.out tests/hana/*.log
772 rm -rf tests/simple/*.out tests/simple/*.log
773 rm -rf tests/memories/*.out tests/memories/*.log tests/memories/*.dmp
774 rm -rf tests/sat/*.log tests/techmap/*.log tests/various/*.log
775 rm -rf tests/bram/temp tests/fsm/temp tests/realmath/temp tests/share/temp tests/smv/temp
776 rm -rf vloghtb/Makefile vloghtb/refdat vloghtb/rtl vloghtb/scripts vloghtb/spec vloghtb/check_yosys vloghtb/vloghammer_tb.tar.bz2 vloghtb/temp vloghtb/log_test_*
777 rm -f tests/svinterfaces/*.log_stdout tests/svinterfaces/*.log_stderr tests/svinterfaces/dut_result.txt tests/svinterfaces/reference_result.txt tests/svinterfaces/a.out tests/svinterfaces/*_syn.v tests/svinterfaces/*.diff
778 rm -f tests/tools/cmp_tbdata
779
780 clean-abc:
781 $(MAKE) -C abc DEP= clean
782 rm -f yosys-abc$(EXE) yosys-libabc.a abc/abc-[0-9a-f]* abc/libabc-[0-9a-f]*.a
783
784 mrproper: clean
785 git clean -xdf
786
787 coverage:
788 ./yosys -qp 'help; help -all'
789 rm -rf coverage.info coverage_html
790 lcov --capture -d . --no-external -o coverage.info
791 genhtml coverage.info --output-directory coverage_html
792
793 qtcreator:
794 { for file in $(basename $(OBJS)); do \
795 for prefix in cc y l; do if [ -f $${file}.$${prefix} ]; then echo $$file.$${prefix}; fi; done \
796 done; find backends frontends kernel libs passes -type f \( -name '*.h' -o -name '*.hh' \); } > qtcreator.files
797 { echo .; find backends frontends kernel libs passes -type f \( -name '*.h' -o -name '*.hh' \) -printf '%h\n' | sort -u; } > qtcreator.includes
798 touch qtcreator.config qtcreator.creator
799
800 vcxsrc: $(GENFILES) $(EXTRA_TARGETS)
801 rm -rf yosys-win32-vcxsrc-$(YOSYS_VER){,.zip}
802 set -e; for f in `ls $(filter %.cc %.cpp,$(GENFILES)) $(addsuffix .cc,$(basename $(OBJS))) $(addsuffix .cpp,$(basename $(OBJS))) 2> /dev/null`; do \
803 echo "Analyse: $$f" >&2; cpp -std=c++11 -MM -I. -D_YOSYS_ $$f; done | sed 's,.*:,,; s,//*,/,g; s,/[^/]*/\.\./,/,g; y, \\,\n\n,;' | grep '^[^/]' | sort -u | grep -v kernel/version_ > srcfiles.txt
804 bash misc/create_vcxsrc.sh yosys-win32-vcxsrc $(YOSYS_VER) $(GIT_REV)
805 echo "namespace Yosys { extern const char *yosys_version_str; const char *yosys_version_str=\"Yosys (Version Information Unavailable)\"; }" > kernel/version.cc
806 zip yosys-win32-vcxsrc-$(YOSYS_VER)/genfiles.zip $(GENFILES) kernel/version.cc
807 zip -r yosys-win32-vcxsrc-$(YOSYS_VER).zip yosys-win32-vcxsrc-$(YOSYS_VER)/
808 rm -f srcfiles.txt kernel/version.cc
809
810 ifeq ($(CONFIG),mxe)
811 mxebin: $(TARGETS) $(EXTRA_TARGETS)
812 rm -rf yosys-win32-mxebin-$(YOSYS_VER){,.zip}
813 mkdir -p yosys-win32-mxebin-$(YOSYS_VER)
814 cp -r yosys.exe share/ yosys-win32-mxebin-$(YOSYS_VER)/
815 ifeq ($(ENABLE_ABC),1)
816 cp -r yosys-abc.exe abc/lib/x86/pthreadVC2.dll yosys-win32-mxebin-$(YOSYS_VER)/
817 endif
818 echo -en 'This is Yosys $(YOSYS_VER) for Win32.\r\n' > yosys-win32-mxebin-$(YOSYS_VER)/readme.txt
819 echo -en 'Documentation at http://www.clifford.at/yosys/.\r\n' >> yosys-win32-mxebin-$(YOSYS_VER)/readme.txt
820 zip -r yosys-win32-mxebin-$(YOSYS_VER).zip yosys-win32-mxebin-$(YOSYS_VER)/
821 endif
822
823 config-clean: clean
824 rm -f Makefile.conf
825
826 config-clang: clean
827 echo 'CONFIG := clang' > Makefile.conf
828
829 config-gcc: clean
830 echo 'CONFIG := gcc' > Makefile.conf
831
832 config-gcc-static: clean
833 echo 'CONFIG := gcc-static' > Makefile.conf
834 echo 'ENABLE_PLUGINS := 0' >> Makefile.conf
835 echo 'ENABLE_READLINE := 0' >> Makefile.conf
836 echo 'ENABLE_TCL := 0' >> Makefile.conf
837
838 config-gcc-4.8: clean
839 echo 'CONFIG := gcc-4.8' > Makefile.conf
840
841 config-afl-gcc: clean
842 echo 'CONFIG := afl-gcc' > Makefile.conf
843
844 config-emcc: clean
845 echo 'CONFIG := emcc' > Makefile.conf
846 echo 'ENABLE_TCL := 0' >> Makefile.conf
847 echo 'ENABLE_ABC := 0' >> Makefile.conf
848 echo 'ENABLE_PLUGINS := 0' >> Makefile.conf
849 echo 'ENABLE_READLINE := 0' >> Makefile.conf
850
851 config-mxe: clean
852 echo 'CONFIG := mxe' > Makefile.conf
853 echo 'ENABLE_PLUGINS := 0' >> Makefile.conf
854
855 config-msys2: clean
856 echo 'CONFIG := msys2' > Makefile.conf
857
858 config-msys2-64: clean
859 echo 'CONFIG := msys2-64' > Makefile.conf
860
861 config-cygwin: clean
862 echo 'CONFIG := cygwin' > Makefile.conf
863
864 config-gcov: clean
865 echo 'CONFIG := gcc' > Makefile.conf
866 echo 'ENABLE_GCOV := 1' >> Makefile.conf
867 echo 'ENABLE_DEBUG := 1' >> Makefile.conf
868
869 config-gprof: clean
870 echo 'CONFIG := gcc' > Makefile.conf
871 echo 'ENABLE_GPROF := 1' >> Makefile.conf
872
873 config-sudo:
874 echo "INSTALL_SUDO := sudo" >> Makefile.conf
875
876 echo-yosys-ver:
877 @echo "$(YOSYS_VER)"
878
879 echo-git-rev:
880 @echo "$(GIT_REV)"
881
882 -include libs/*/*.d
883 -include frontends/*/*.d
884 -include passes/*/*.d
885 -include backends/*/*.d
886 -include kernel/*.d
887 -include techlibs/*/*.d
888
889 .PHONY: all top-all abc test install install-abc manual clean mrproper qtcreator coverage vcxsrc mxebin
890 .PHONY: config-clean config-clang config-gcc config-gcc-static config-gcc-4.8 config-afl-gcc config-gprof config-sudo
891