Merge remote-tracking branch 'origin/pmux2shiftx' into xc7mux
[yosys.git] / Makefile
1
2 CONFIG := clang
3 # CONFIG := gcc
4 # CONFIG := gcc-4.8
5 # CONFIG := emcc
6 # CONFIG := mxe
7 # CONFIG := msys2
8 # CONFIG := msys2-64
9
10 # features (the more the better)
11 ENABLE_TCL := 1
12 ENABLE_ABC := 1
13 ENABLE_GLOB := 1
14 ENABLE_PLUGINS := 1
15 ENABLE_READLINE := 1
16 ENABLE_EDITLINE := 0
17 ENABLE_VERIFIC := 0
18 ENABLE_COVER := 1
19 ENABLE_LIBYOSYS := 0
20 ENABLE_PROTOBUF := 0
21
22 # other configuration flags
23 ENABLE_GCOV := 0
24 ENABLE_GPROF := 0
25 ENABLE_DEBUG := 0
26 ENABLE_NDEBUG := 0
27 LINK_CURSES := 0
28 LINK_TERMCAP := 0
29 LINK_ABC := 0
30 # Needed for environments that don't have proper thread support (i.e. emscripten)
31 DISABLE_ABC_THREADS := 0
32
33 # clang sanitizers
34 SANITIZER =
35 # SANITIZER = address
36 # SANITIZER = memory
37 # SANITIZER = undefined
38 # SANITIZER = cfi
39
40
41 OS := $(shell uname -s)
42 PREFIX ?= /usr/local
43 INSTALL_SUDO :=
44
45 BINDIR := $(PREFIX)/bin
46 LIBDIR := $(PREFIX)/lib
47 DATDIR := $(PREFIX)/share/yosys
48
49 EXE =
50 OBJS =
51 GENFILES =
52 EXTRA_OBJS =
53 EXTRA_TARGETS =
54 TARGETS = yosys$(EXE) yosys-config
55
56 PRETTY = 1
57 SMALL = 0
58
59 # Unit test
60 UNITESTPATH := tests/unit
61
62 all: top-all
63
64 YOSYS_SRC := $(dir $(firstword $(MAKEFILE_LIST)))
65 VPATH := $(YOSYS_SRC)
66
67 CXXFLAGS := $(CXXFLAGS) -Wall -Wextra -ggdb -I. -I"$(YOSYS_SRC)" -MD -D_YOSYS_ -fPIC -I$(PREFIX)/include
68 LDFLAGS := $(LDFLAGS) -L$(LIBDIR)
69 LDLIBS := $(LDLIBS) -lstdc++ -lm
70 PLUGIN_LDFLAGS :=
71
72 PKG_CONFIG ?= pkg-config
73 SED ?= sed
74 BISON ?= bison
75 STRIP ?= strip
76 AWK ?= awk
77
78 ifeq ($(OS), Darwin)
79 PLUGIN_LDFLAGS += -undefined dynamic_lookup
80
81 # homebrew search paths
82 ifneq ($(shell which brew),)
83 BREW_PREFIX := $(shell brew --prefix)/opt
84 CXXFLAGS += -I$(BREW_PREFIX)/readline/include
85 LDFLAGS += -L$(BREW_PREFIX)/readline/lib
86 PKG_CONFIG_PATH := $(BREW_PREFIX)/libffi/lib/pkgconfig:$(PKG_CONFIG_PATH)
87 PKG_CONFIG_PATH := $(BREW_PREFIX)/tcl-tk/lib/pkgconfig:$(PKG_CONFIG_PATH)
88 export PATH := $(BREW_PREFIX)/bison/bin:$(BREW_PREFIX)/gettext/bin:$(BREW_PREFIX)/flex/bin:$(PATH)
89
90 # macports search paths
91 else ifneq ($(shell which port),)
92 PORT_PREFIX := $(patsubst %/bin/port,%,$(shell which port))
93 CXXFLAGS += -I$(PORT_PREFIX)/include
94 LDFLAGS += -L$(PORT_PREFIX)/lib
95 PKG_CONFIG_PATH := $(PORT_PREFIX)/lib/pkgconfig:$(PKG_CONFIG_PATH)
96 export PATH := $(PORT_PREFIX)/bin:$(PATH)
97 endif
98
99 else
100 LDFLAGS += -rdynamic
101 LDLIBS += -lrt
102 endif
103
104 YOSYS_VER := 0.8+$(shell cd $(YOSYS_SRC) && test -e .git && { git log --author=clifford@clifford.at --oneline 4d4665b.. 2> /dev/null | wc -l; })
105 GIT_REV := $(shell cd $(YOSYS_SRC) && git rev-parse --short HEAD 2> /dev/null || echo UNKNOWN)
106 OBJS = kernel/version_$(GIT_REV).o
107
108 # set 'ABCREV = default' to use abc/ as it is
109 #
110 # Note: If you do ABC development, make sure that 'abc' in this directory
111 # is just a symlink to your actual ABC working directory, as 'make mrproper'
112 # will remove the 'abc' directory and you do not want to accidentally
113 # delete your work on ABC..
114 ABCREV = 2ddc57d
115 ABCPULL = 1
116 ABCURL ?= https://github.com/berkeley-abc/abc
117 ABCMKARGS = CC="$(CXX)" CXX="$(CXX)" ABC_USE_LIBSTDCXX=1
118
119 # set ABCEXTERNAL = <abc-command> to use an external ABC instance
120 # Note: The in-tree ABC (yosys-abc) will not be installed when ABCEXTERNAL is set.
121 ABCEXTERNAL ?=
122
123 define newline
124
125
126 endef
127
128 ifneq ($(wildcard Makefile.conf),)
129 $(info $(subst $$--$$,$(newline),$(shell sed 's,^,[Makefile.conf] ,; s,$$,$$--$$,;' < Makefile.conf | tr -d '\n' | sed 's,\$$--\$$$$,,')))
130 include Makefile.conf
131 endif
132
133 ifeq ($(CONFIG),clang)
134 CXX = clang
135 LD = clang++
136 CXXFLAGS += -std=c++11 -Os
137 ABCMKARGS += ARCHFLAGS="-DABC_USE_STDINT_H"
138
139 ifneq ($(SANITIZER),)
140 $(info [Clang Sanitizer] $(SANITIZER))
141 CXXFLAGS += -g -O1 -fno-omit-frame-pointer -fno-optimize-sibling-calls -fsanitize=$(SANITIZER)
142 LDFLAGS += -g -fsanitize=$(SANITIZER)
143 ifeq ($(SANITIZER),address)
144 ENABLE_COVER := 0
145 endif
146 ifeq ($(SANITIZER),memory)
147 CXXFLAGS += -fPIE -fsanitize-memory-track-origins
148 LDFLAGS += -fPIE -fsanitize-memory-track-origins
149 endif
150 ifeq ($(SANITIZER),cfi)
151 CXXFLAGS += -flto
152 LDFLAGS += -flto
153 endif
154 endif
155
156 else ifeq ($(CONFIG),gcc)
157 CXX = gcc
158 LD = gcc
159 CXXFLAGS += -std=c++11 -Os
160 ABCMKARGS += ARCHFLAGS="-DABC_USE_STDINT_H"
161
162 else ifeq ($(CONFIG),gcc-static)
163 LD = $(CXX)
164 LDFLAGS := $(filter-out -rdynamic,$(LDFLAGS)) -static
165 LDLIBS := $(filter-out -lrt,$(LDLIBS))
166 CXXFLAGS := $(filter-out -fPIC,$(CXXFLAGS))
167 CXXFLAGS += -std=c++11 -Os
168 ABCMKARGS = CC="$(CC)" CXX="$(CXX)" LD="$(LD)" ABC_USE_LIBSTDCXX=1 LIBS="-lm -lpthread -static" OPTFLAGS="-O" \
169 ARCHFLAGS="-DABC_USE_STDINT_H -DABC_NO_DYNAMIC_LINKING=1 -Wno-unused-but-set-variable $(ARCHFLAGS)" ABC_USE_NO_READLINE=1
170 ifeq ($(DISABLE_ABC_THREADS),1)
171 ABCMKARGS += "ABC_USE_NO_PTHREADS=1"
172 endif
173
174 else ifeq ($(CONFIG),gcc-4.8)
175 CXX = gcc-4.8
176 LD = gcc-4.8
177 CXXFLAGS += -std=c++11 -Os
178 ABCMKARGS += ARCHFLAGS="-DABC_USE_STDINT_H"
179
180 else ifeq ($(CONFIG),cygwin)
181 CXX = gcc
182 LD = gcc
183 CXXFLAGS += -std=gnu++11 -Os
184 ABCMKARGS += ARCHFLAGS="-DABC_USE_STDINT_H"
185
186 else ifeq ($(CONFIG),emcc)
187 CXX = emcc
188 LD = emcc
189 CXXFLAGS := -std=c++11 $(filter-out -fPIC -ggdb,$(CXXFLAGS))
190 ABCMKARGS += ARCHFLAGS="-DABC_USE_STDINT_H -DABC_MEMALIGN=8"
191 EMCCFLAGS := -Os -Wno-warn-absolute-paths
192 EMCCFLAGS += --memory-init-file 0 --embed-file share -s NO_EXIT_RUNTIME=1
193 EMCCFLAGS += -s EXPORTED_FUNCTIONS="['_main','_run','_prompt','_errmsg']"
194 EMCCFLAGS += -s TOTAL_MEMORY=128*1024*1024
195 # https://github.com/kripken/emscripten/blob/master/src/settings.js
196 CXXFLAGS += $(EMCCFLAGS)
197 LDFLAGS += $(EMCCFLAGS)
198 LDLIBS =
199 EXE = .js
200
201 TARGETS := $(filter-out yosys-config,$(TARGETS))
202 EXTRA_TARGETS += yosysjs-$(YOSYS_VER).zip
203
204 ifeq ($(ENABLE_ABC),1)
205 LINK_ABC := 1
206 DISABLE_ABC_THREADS := 1
207 endif
208
209 viz.js:
210 wget -O viz.js.part https://github.com/mdaines/viz.js/releases/download/0.0.3/viz.js
211 mv viz.js.part viz.js
212
213 yosysjs-$(YOSYS_VER).zip: yosys.js viz.js misc/yosysjs/*
214 rm -rf yosysjs-$(YOSYS_VER) yosysjs-$(YOSYS_VER).zip
215 mkdir -p yosysjs-$(YOSYS_VER)
216 cp viz.js misc/yosysjs/* yosys.js yosysjs-$(YOSYS_VER)/
217 zip -r yosysjs-$(YOSYS_VER).zip yosysjs-$(YOSYS_VER)
218
219 yosys.html: misc/yosys.html
220 $(P) cp misc/yosys.html yosys.html
221
222 else ifeq ($(CONFIG),mxe)
223 PKG_CONFIG = /usr/local/src/mxe/usr/bin/i686-w64-mingw32.static-pkg-config
224 CXX = /usr/local/src/mxe/usr/bin/i686-w64-mingw32.static-g++
225 LD = /usr/local/src/mxe/usr/bin/i686-w64-mingw32.static-g++
226 CXXFLAGS += -std=c++11 -Os -D_POSIX_SOURCE -DYOSYS_MXE_HACKS -Wno-attributes
227 CXXFLAGS := $(filter-out -fPIC,$(CXXFLAGS))
228 LDFLAGS := $(filter-out -rdynamic,$(LDFLAGS)) -s
229 LDLIBS := $(filter-out -lrt,$(LDLIBS))
230 ABCMKARGS += ARCHFLAGS="-DWIN32_NO_DLL -DHAVE_STRUCT_TIMESPEC -fpermissive -w"
231 ABCMKARGS += LIBS="lib/x86/pthreadVC2.lib -s" ABC_USE_NO_READLINE=1 CC="/usr/local/src/mxe/usr/bin/i686-w64-mingw32.static-gcc"
232 EXE = .exe
233
234 else ifeq ($(CONFIG),msys2)
235 CXX = i686-w64-mingw32-g++
236 LD = i686-w64-mingw32-g++
237 CXXFLAGS += -std=c++11 -Os -D_POSIX_SOURCE -DYOSYS_WIN32_UNIX_DIR
238 CXXFLAGS := $(filter-out -fPIC,$(CXXFLAGS))
239 LDFLAGS := $(filter-out -rdynamic,$(LDFLAGS)) -s
240 LDLIBS := $(filter-out -lrt,$(LDLIBS))
241 ABCMKARGS += ARCHFLAGS="-DABC_USE_STDINT_H -DWIN32_NO_DLL -DHAVE_STRUCT_TIMESPEC -fpermissive -w"
242 ABCMKARGS += LIBS="-lpthread -s" ABC_USE_NO_READLINE=0 CC="i686-w64-mingw32-gcc" CXX="$(CXX)"
243 EXE = .exe
244
245 else ifeq ($(CONFIG),msys2-64)
246 CXX = x86_64-w64-mingw32-g++
247 LD = x86_64-w64-mingw32-g++
248 CXXFLAGS += -std=c++11 -Os -D_POSIX_SOURCE -DYOSYS_WIN32_UNIX_DIR
249 CXXFLAGS := $(filter-out -fPIC,$(CXXFLAGS))
250 LDFLAGS := $(filter-out -rdynamic,$(LDFLAGS)) -s
251 LDLIBS := $(filter-out -lrt,$(LDLIBS))
252 ABCMKARGS += ARCHFLAGS="-DABC_USE_STDINT_H -DWIN32_NO_DLL -DHAVE_STRUCT_TIMESPEC -fpermissive -w"
253 ABCMKARGS += LIBS="-lpthread -s" ABC_USE_NO_READLINE=0 CC="x86_64-w64-mingw32-gcc" CXX="$(CXX)"
254 EXE = .exe
255
256 else ifneq ($(CONFIG),none)
257 $(error Invalid CONFIG setting '$(CONFIG)'. Valid values: clang, gcc, gcc-4.8, emcc, mxe, msys2, msys2-64)
258 endif
259
260 ifeq ($(ENABLE_LIBYOSYS),1)
261 TARGETS += libyosys.so
262 endif
263
264 ifeq ($(ENABLE_READLINE),1)
265 CXXFLAGS += -DYOSYS_ENABLE_READLINE
266 ifeq ($(OS), FreeBSD)
267 CXXFLAGS += -I/usr/local/include
268 endif
269 LDLIBS += -lreadline
270 ifeq ($(LINK_CURSES),1)
271 LDLIBS += -lcurses
272 ABCMKARGS += "ABC_READLINE_LIBRARIES=-lcurses -lreadline"
273 endif
274 ifeq ($(LINK_TERMCAP),1)
275 LDLIBS += -ltermcap
276 ABCMKARGS += "ABC_READLINE_LIBRARIES=-lreadline -ltermcap"
277 endif
278 ifeq ($(CONFIG),mxe)
279 LDLIBS += -ltermcap
280 endif
281 else
282 ifeq ($(ENABLE_EDITLINE),1)
283 CXXFLAGS += -DYOSYS_ENABLE_EDITLINE
284 LDLIBS += -ledit -ltinfo -lbsd
285 else
286 ABCMKARGS += "ABC_USE_NO_READLINE=1"
287 endif
288 endif
289
290 ifeq ($(DISABLE_ABC_THREADS),1)
291 ABCMKARGS += "ABC_USE_NO_PTHREADS=1"
292 endif
293
294 ifeq ($(ENABLE_PLUGINS),1)
295 CXXFLAGS += $(shell PKG_CONFIG_PATH=$(PKG_CONFIG_PATH) $(PKG_CONFIG) --silence-errors --cflags libffi) -DYOSYS_ENABLE_PLUGINS
296 LDLIBS += $(shell PKG_CONFIG_PATH=$(PKG_CONFIG_PATH) $(PKG_CONFIG) --silence-errors --libs libffi || echo -lffi)
297 ifneq ($(OS), FreeBSD)
298 LDLIBS += -ldl
299 endif
300 endif
301
302 ifeq ($(ENABLE_GLOB),1)
303 CXXFLAGS += -DYOSYS_ENABLE_GLOB
304 endif
305
306 ifeq ($(ENABLE_TCL),1)
307 TCL_VERSION ?= tcl$(shell bash -c "tclsh <(echo 'puts [info tclversion]')")
308 ifeq ($(OS), FreeBSD)
309 TCL_INCLUDE ?= /usr/local/include/$(TCL_VERSION)
310 else
311 TCL_INCLUDE ?= /usr/include/$(TCL_VERSION)
312 endif
313
314 ifeq ($(CONFIG),mxe)
315 CXXFLAGS += -DYOSYS_ENABLE_TCL
316 LDLIBS += -ltcl86 -lwsock32 -lws2_32 -lnetapi32 -lz
317 else
318 CXXFLAGS += $(shell PKG_CONFIG_PATH=$(PKG_CONFIG_PATH) $(PKG_CONFIG) --silence-errors --cflags tcl || echo -I$(TCL_INCLUDE)) -DYOSYS_ENABLE_TCL
319 ifeq ($(OS), FreeBSD)
320 # FreeBSD uses tcl8.6, but lib is named "libtcl86"
321 LDLIBS += $(shell PKG_CONFIG_PATH=$(PKG_CONFIG_PATH) $(PKG_CONFIG) --silence-errors --libs tcl || echo -l$(TCL_VERSION) | tr -d '.')
322 else
323 LDLIBS += $(shell PKG_CONFIG_PATH=$(PKG_CONFIG_PATH) $(PKG_CONFIG) --silence-errors --libs tcl || echo -l$(TCL_VERSION))
324 endif
325 endif
326 endif
327
328 ifeq ($(ENABLE_GCOV),1)
329 CXXFLAGS += --coverage
330 LDFLAGS += --coverage
331 endif
332
333 ifeq ($(ENABLE_GPROF),1)
334 CXXFLAGS += -pg
335 LDFLAGS += -pg
336 endif
337
338 ifeq ($(ENABLE_NDEBUG),1)
339 CXXFLAGS := -O3 -DNDEBUG $(filter-out -Os -ggdb,$(CXXFLAGS))
340 endif
341
342 ifeq ($(ENABLE_DEBUG),1)
343 ifeq ($(CONFIG),clang)
344 CXXFLAGS := -O0 -DDEBUG $(filter-out -Os,$(CXXFLAGS))
345 else
346 CXXFLAGS := -Og -DDEBUG $(filter-out -Os,$(CXXFLAGS))
347 endif
348 endif
349
350 ifeq ($(ENABLE_ABC),1)
351 CXXFLAGS += -DYOSYS_ENABLE_ABC
352 ifeq ($(LINK_ABC),1)
353 CXXFLAGS += -DYOSYS_LINK_ABC
354 ifeq ($(DISABLE_ABC_THREADS),0)
355 LDLIBS += -lpthread
356 endif
357 else
358 ifeq ($(ABCEXTERNAL),)
359 TARGETS += yosys-abc$(EXE)
360 endif
361 endif
362 endif
363
364 ifeq ($(ENABLE_VERIFIC),1)
365 VERIFIC_DIR ?= /usr/local/src/verific_lib
366 VERIFIC_COMPONENTS ?= verilog vhdl database util containers hier_tree
367 CXXFLAGS += $(patsubst %,-I$(VERIFIC_DIR)/%,$(VERIFIC_COMPONENTS)) -DYOSYS_ENABLE_VERIFIC
368 ifeq ($(OS), Darwin)
369 LDLIBS += $(patsubst %,$(VERIFIC_DIR)/%/*-mac.a,$(VERIFIC_COMPONENTS)) -lz
370 else
371 LDLIBS += $(patsubst %,$(VERIFIC_DIR)/%/*-linux.a,$(VERIFIC_COMPONENTS)) -lz
372 endif
373 endif
374
375 ifeq ($(ENABLE_PROTOBUF),1)
376 LDLIBS += $(shell pkg-config --cflags --libs protobuf)
377 endif
378
379 ifeq ($(ENABLE_COVER),1)
380 CXXFLAGS += -DYOSYS_ENABLE_COVER
381 endif
382
383 define add_share_file
384 EXTRA_TARGETS += $(subst //,/,$(1)/$(notdir $(2)))
385 $(subst //,/,$(1)/$(notdir $(2))): $(2)
386 $$(P) mkdir -p $(1)
387 $$(Q) cp "$(YOSYS_SRC)"/$(2) $(subst //,/,$(1)/$(notdir $(2)))
388 endef
389
390 define add_gen_share_file
391 EXTRA_TARGETS += $(subst //,/,$(1)/$(notdir $(2)))
392 $(subst //,/,$(1)/$(notdir $(2))): $(2)
393 $$(P) mkdir -p $(1)
394 $$(Q) cp $(2) $(subst //,/,$(1)/$(notdir $(2)))
395 endef
396
397 define add_include_file
398 $(eval $(call add_share_file,$(dir share/include/$(1)),$(1)))
399 endef
400
401 ifeq ($(PRETTY), 1)
402 P_STATUS = 0
403 P_OFFSET = 0
404 P_UPDATE = $(eval P_STATUS=$(shell echo $(OBJS) yosys$(EXE) | $(AWK) 'BEGIN { RS = " "; I = $(P_STATUS)+0; } $$1 == "$@" && NR > I { I = NR; } END { print I; }'))
405 P_SHOW = [$(shell $(AWK) "BEGIN { N=$(words $(OBJS) yosys$(EXE)); printf \"%3d\", $(P_OFFSET)+90*$(P_STATUS)/N; exit; }")%]
406 P = @echo "$(if $(findstring $@,$(TARGETS) $(EXTRA_TARGETS)),$(eval P_OFFSET = 10))$(call P_UPDATE)$(call P_SHOW) Building $@";
407 Q = @
408 S = -s
409 else
410 P_SHOW = ->
411 P =
412 Q =
413 S =
414 endif
415
416 $(eval $(call add_include_file,kernel/yosys.h))
417 $(eval $(call add_include_file,kernel/hashlib.h))
418 $(eval $(call add_include_file,kernel/log.h))
419 $(eval $(call add_include_file,kernel/rtlil.h))
420 $(eval $(call add_include_file,kernel/register.h))
421 $(eval $(call add_include_file,kernel/celltypes.h))
422 $(eval $(call add_include_file,kernel/celledges.h))
423 $(eval $(call add_include_file,kernel/consteval.h))
424 $(eval $(call add_include_file,kernel/sigtools.h))
425 $(eval $(call add_include_file,kernel/modtools.h))
426 $(eval $(call add_include_file,kernel/macc.h))
427 $(eval $(call add_include_file,kernel/utils.h))
428 $(eval $(call add_include_file,kernel/satgen.h))
429 $(eval $(call add_include_file,libs/ezsat/ezsat.h))
430 $(eval $(call add_include_file,libs/ezsat/ezminisat.h))
431 $(eval $(call add_include_file,libs/sha1/sha1.h))
432 $(eval $(call add_include_file,passes/fsm/fsmdata.h))
433 $(eval $(call add_include_file,frontends/ast/ast.h))
434 $(eval $(call add_include_file,backends/ilang/ilang_backend.h))
435
436 OBJS += kernel/driver.o kernel/register.o kernel/rtlil.o kernel/log.o kernel/calc.o kernel/yosys.o
437 OBJS += kernel/cellaigs.o kernel/celledges.o
438 OBJS += kernel/cost.o
439
440 kernel/log.o: CXXFLAGS += -DYOSYS_SRC='"$(YOSYS_SRC)"'
441 kernel/yosys.o: CXXFLAGS += -DYOSYS_DATDIR='"$(DATDIR)"'
442
443 OBJS += libs/bigint/BigIntegerAlgorithms.o libs/bigint/BigInteger.o libs/bigint/BigIntegerUtils.o
444 OBJS += libs/bigint/BigUnsigned.o libs/bigint/BigUnsignedInABase.o
445
446 OBJS += libs/sha1/sha1.o
447
448 ifneq ($(SMALL),1)
449
450 OBJS += libs/subcircuit/subcircuit.o
451
452 OBJS += libs/ezsat/ezsat.o
453 OBJS += libs/ezsat/ezminisat.o
454
455 OBJS += libs/minisat/Options.o
456 OBJS += libs/minisat/SimpSolver.o
457 OBJS += libs/minisat/Solver.o
458 OBJS += libs/minisat/System.o
459
460 include $(YOSYS_SRC)/frontends/*/Makefile.inc
461 include $(YOSYS_SRC)/passes/*/Makefile.inc
462 include $(YOSYS_SRC)/backends/*/Makefile.inc
463 include $(YOSYS_SRC)/techlibs/*/Makefile.inc
464
465 else
466
467 include frontends/verilog/Makefile.inc
468 include frontends/ilang/Makefile.inc
469 include frontends/ast/Makefile.inc
470 include frontends/blif/Makefile.inc
471
472 OBJS += passes/hierarchy/hierarchy.o
473 OBJS += passes/cmds/select.o
474 OBJS += passes/cmds/show.o
475 OBJS += passes/cmds/stat.o
476 OBJS += passes/cmds/cover.o
477 OBJS += passes/cmds/design.o
478 OBJS += passes/cmds/plugin.o
479
480 include passes/proc/Makefile.inc
481 include passes/opt/Makefile.inc
482 include passes/techmap/Makefile.inc
483
484 include backends/verilog/Makefile.inc
485 include backends/ilang/Makefile.inc
486
487 include techlibs/common/Makefile.inc
488
489 endif
490
491 ifeq ($(LINK_ABC),1)
492 OBJS += yosys-libabc.a
493 endif
494
495 top-all: $(TARGETS) $(EXTRA_TARGETS)
496 @echo ""
497 @echo " Build successful."
498 @echo ""
499
500 ifeq ($(CONFIG),emcc)
501 yosys.js: $(filter-out yosysjs-$(YOSYS_VER).zip,$(EXTRA_TARGETS))
502 endif
503
504 yosys$(EXE): $(OBJS)
505 $(P) $(LD) -o yosys$(EXE) $(LDFLAGS) $(OBJS) $(LDLIBS)
506
507 libyosys.so: $(filter-out kernel/driver.o,$(OBJS))
508 $(P) $(LD) -o libyosys.so -shared -Wl,-soname,libyosys.so $(LDFLAGS) $^ $(LDLIBS)
509
510 %.o: %.cc
511 $(Q) mkdir -p $(dir $@)
512 $(P) $(CXX) -o $@ -c $(CPPFLAGS) $(CXXFLAGS) $<
513
514 %.o: %.cpp
515 $(Q) mkdir -p $(dir $@)
516 $(P) $(CXX) -o $@ -c $(CPPFLAGS) $(CXXFLAGS) $<
517
518 YOSYS_VER_STR := Yosys $(YOSYS_VER) (git sha1 $(GIT_REV), $(notdir $(CXX)) $(shell \
519 $(CXX) --version | tr ' ()' '\n' | grep '^[0-9]' | head -n1) $(filter -f% -m% -O% -DNDEBUG,$(CXXFLAGS)))
520
521 kernel/version_$(GIT_REV).cc: $(YOSYS_SRC)/Makefile
522 $(P) rm -f kernel/version_*.o kernel/version_*.d kernel/version_*.cc
523 $(Q) mkdir -p kernel && echo "namespace Yosys { extern const char *yosys_version_str; const char *yosys_version_str=\"$(YOSYS_VER_STR)\"; }" > kernel/version_$(GIT_REV).cc
524
525 ifeq ($(ENABLE_VERIFIC),1)
526 CXXFLAGS_NOVERIFIC = $(foreach v,$(CXXFLAGS),$(if $(findstring $(VERIFIC_DIR),$(v)),,$(v)))
527 LDLIBS_NOVERIFIC = $(foreach v,$(LDLIBS),$(if $(findstring $(VERIFIC_DIR),$(v)),,$(v)))
528 else
529 CXXFLAGS_NOVERIFIC = $(CXXFLAGS)
530 LDLIBS_NOVERIFIC = $(LDLIBS)
531 endif
532
533 yosys-config: misc/yosys-config.in
534 $(P) $(SED) -e 's#@CXXFLAGS@#$(subst -I. -I"$(YOSYS_SRC)",-I"$(DATDIR)/include",$(strip $(CXXFLAGS_NOVERIFIC)))#;' \
535 -e 's#@CXX@#$(strip $(CXX))#;' -e 's#@LDFLAGS@#$(strip $(LDFLAGS) $(PLUGIN_LDFLAGS))#;' -e 's#@LDLIBS@#$(strip $(LDLIBS_NOVERIFIC))#;' \
536 -e 's#@BINDIR@#$(strip $(BINDIR))#;' -e 's#@DATDIR@#$(strip $(DATDIR))#;' < $< > yosys-config
537 $(Q) chmod +x yosys-config
538
539 abc/abc-$(ABCREV)$(EXE) abc/libabc-$(ABCREV).a:
540 $(P)
541 ifneq ($(ABCREV),default)
542 $(Q) if test -d abc/.hg; then \
543 echo 'REEBE: NOP qverpgbel vf n ut jbexvat pbcl! Erzbir nop/ naq er-eha "znxr".' | tr 'A-Za-z' 'N-ZA-Mn-za-m'; false; \
544 fi
545 $(Q) if ( cd abc 2> /dev/null && ! git diff-index --quiet HEAD; ); then \
546 echo 'REEBE: NOP pbagnvaf ybpny zbqvsvpngvbaf! Frg NOPERI=qrsnhyg va Lbflf Znxrsvyr!' | tr 'A-Za-z' 'N-ZA-Mn-za-m'; false; \
547 fi
548 $(Q) if test "`cd abc 2> /dev/null && git rev-parse --short HEAD`" != "$(ABCREV)"; then \
549 test $(ABCPULL) -ne 0 || { echo 'REEBE: NOP abg hc gb qngr naq NOPCHYY frg gb 0 va Znxrsvyr!' | tr 'A-Za-z' 'N-ZA-Mn-za-m'; exit 1; }; \
550 echo "Pulling ABC from $(ABCURL):"; set -x; \
551 test -d abc || git clone $(ABCURL) abc; \
552 cd abc && $(MAKE) DEP= clean && git fetch origin master && git checkout $(ABCREV); \
553 fi
554 endif
555 $(Q) rm -f abc/abc-[0-9a-f]*
556 $(Q) cd abc && $(MAKE) $(S) $(ABCMKARGS) $(if $(filter %.a,$@),PROG="abc-$(ABCREV)",PROG="abc-$(ABCREV)$(EXE)") MSG_PREFIX="$(eval P_OFFSET = 5)$(call P_SHOW)$(eval P_OFFSET = 10) ABC: " $(if $(filter %.a,$@),libabc-$(ABCREV).a)
557
558 ifeq ($(ABCREV),default)
559 .PHONY: abc/abc-$(ABCREV)$(EXE)
560 .PHONY: abc/libabc-$(ABCREV).a
561 endif
562
563 yosys-abc$(EXE): abc/abc-$(ABCREV)$(EXE)
564 $(P) cp abc/abc-$(ABCREV)$(EXE) yosys-abc$(EXE)
565
566 yosys-libabc.a: abc/libabc-$(ABCREV).a
567 $(P) cp abc/libabc-$(ABCREV).a yosys-libabc.a
568
569 ifneq ($(SEED),)
570 SEEDOPT="-S $(SEED)"
571 else
572 SEEDOPT=""
573 endif
574
575 test: $(TARGETS) $(EXTRA_TARGETS)
576 +cd tests/simple && bash run-test.sh $(SEEDOPT)
577 +cd tests/hana && bash run-test.sh $(SEEDOPT)
578 +cd tests/asicworld && bash run-test.sh $(SEEDOPT)
579 # +cd tests/realmath && bash run-test.sh $(SEEDOPT)
580 +cd tests/share && bash run-test.sh $(SEEDOPT)
581 +cd tests/fsm && bash run-test.sh $(SEEDOPT)
582 +cd tests/techmap && bash run-test.sh
583 +cd tests/memories && bash run-test.sh $(SEEDOPT)
584 +cd tests/bram && bash run-test.sh $(SEEDOPT)
585 +cd tests/various && bash run-test.sh
586 +cd tests/sat && bash run-test.sh
587 +cd tests/svinterfaces && bash run-test.sh $(SEEDOPT)
588 +cd tests/opt && bash run-test.sh
589 +cd tests/aiger && bash run-test.sh
590 @echo ""
591 @echo " Passed \"make test\"."
592 @echo ""
593
594 VALGRIND ?= valgrind --error-exitcode=1 --leak-check=full --show-reachable=yes --errors-for-leak-kinds=all
595
596 vgtest: $(TARGETS) $(EXTRA_TARGETS)
597 $(VALGRIND) ./yosys -p 'setattr -mod -unset top; synth' $$( ls tests/simple/*.v | grep -v repwhile.v )
598 @echo ""
599 @echo " Passed \"make vgtest\"."
600 @echo ""
601
602 vloghtb: $(TARGETS) $(EXTRA_TARGETS)
603 +cd tests/vloghtb && bash run-test.sh
604 @echo ""
605 @echo " Passed \"make vloghtb\"."
606 @echo ""
607
608 ystests: $(TARGETS) $(EXTRA_TARGETS)
609 rm -rf tests/ystests
610 git clone https://github.com/YosysHQ/yosys-tests.git tests/ystests
611 +$(MAKE) PATH="$$PWD:$$PATH" -C tests/ystests
612 @echo ""
613 @echo " Finished \"make ystests\"."
614 @echo ""
615
616 # Unit test
617 unit-test: libyosys.so
618 @$(MAKE) -C $(UNITESTPATH) CXX="$(CXX)" CPPFLAGS="$(CPPFLAGS)" \
619 CXXFLAGS="$(CXXFLAGS)" LDLIBS="$(LDLIBS)" ROOTPATH="$(CURDIR)"
620
621 clean-unit-test:
622 @$(MAKE) -C $(UNITESTPATH) clean
623
624 install: $(TARGETS) $(EXTRA_TARGETS)
625 $(INSTALL_SUDO) mkdir -p $(DESTDIR)$(BINDIR)
626 $(INSTALL_SUDO) cp $(TARGETS) $(DESTDIR)$(BINDIR)
627 ifneq ($(filter yosys,$(TARGETS)),)
628 $(INSTALL_SUDO) $(STRIP) -S $(DESTDIR)$(BINDIR)/yosys
629 endif
630 ifneq ($(filter yosys-abc,$(TARGETS)),)
631 $(INSTALL_SUDO) $(STRIP) $(DESTDIR)$(BINDIR)/yosys-abc
632 endif
633 ifneq ($(filter yosys-filterlib,$(TARGETS)),)
634 $(INSTALL_SUDO) $(STRIP) $(DESTDIR)$(BINDIR)/yosys-filterlib
635 endif
636 $(INSTALL_SUDO) mkdir -p $(DESTDIR)$(DATDIR)
637 $(INSTALL_SUDO) cp -r share/. $(DESTDIR)$(DATDIR)/.
638 ifeq ($(ENABLE_LIBYOSYS),1)
639 $(INSTALL_SUDO) cp libyosys.so $(DESTDIR)$(LIBDIR)
640 $(INSTALL_SUDO) $(STRIP) -S $(DESTDIR)$(LIBDIR)/libyosys.so
641 $(INSTALL_SUDO) ldconfig
642 endif
643
644 uninstall:
645 $(INSTALL_SUDO) rm -vf $(addprefix $(DESTDIR)$(BINDIR)/,$(notdir $(TARGETS)))
646 $(INSTALL_SUDO) rm -rvf $(DESTDIR)$(DATDIR)
647 ifeq ($(ENABLE_LIBYOSYS),1)
648 $(INSTALL_SUDO) rm -vf $(DESTDIR)$(LIBDIR)/libyosys.so
649 endif
650
651 update-manual: $(TARGETS) $(EXTRA_TARGETS)
652 cd manual && ../yosys -p 'help -write-tex-command-reference-manual'
653
654 manual: $(TARGETS) $(EXTRA_TARGETS)
655 cd manual && bash appnotes.sh
656 cd manual && bash presentation.sh
657 cd manual && bash manual.sh
658
659 clean:
660 rm -rf share
661 if test -d manual; then cd manual && sh clean.sh; fi
662 rm -f $(OBJS) $(GENFILES) $(TARGETS) $(EXTRA_TARGETS) $(EXTRA_OBJS)
663 rm -f kernel/version_*.o kernel/version_*.cc abc/abc-[0-9a-f]* abc/libabc-[0-9a-f]*.a
664 rm -f libs/*/*.d frontends/*/*.d passes/*/*.d backends/*/*.d kernel/*.d techlibs/*/*.d
665 rm -rf tests/asicworld/*.out tests/asicworld/*.log
666 rm -rf tests/hana/*.out tests/hana/*.log
667 rm -rf tests/simple/*.out tests/simple/*.log
668 rm -rf tests/memories/*.out tests/memories/*.log tests/memories/*.dmp
669 rm -rf tests/sat/*.log tests/techmap/*.log tests/various/*.log
670 rm -rf tests/bram/temp tests/fsm/temp tests/realmath/temp tests/share/temp tests/smv/temp
671 rm -rf vloghtb/Makefile vloghtb/refdat vloghtb/rtl vloghtb/scripts vloghtb/spec vloghtb/check_yosys vloghtb/vloghammer_tb.tar.bz2 vloghtb/temp vloghtb/log_test_*
672 rm -f tests/svinterfaces/*.log_stdout tests/svinterfaces/*.log_stderr tests/svinterfaces/dut_result.txt tests/svinterfaces/reference_result.txt tests/svinterfaces/a.out tests/svinterfaces/*_syn.v tests/svinterfaces/*.diff
673 rm -f tests/tools/cmp_tbdata
674
675 clean-abc:
676 $(MAKE) -C abc DEP= clean
677 rm -f yosys-abc$(EXE) yosys-libabc.a abc/abc-[0-9a-f]* abc/libabc-[0-9a-f]*.a
678
679 mrproper: clean
680 git clean -xdf
681
682 coverage:
683 ./yosys -qp 'help; help -all'
684 rm -rf coverage.info coverage_html
685 lcov --capture -d . --no-external -o coverage.info
686 genhtml coverage.info --output-directory coverage_html
687
688 qtcreator:
689 { for file in $(basename $(OBJS)); do \
690 for prefix in cc y l; do if [ -f $${file}.$${prefix} ]; then echo $$file.$${prefix}; fi; done \
691 done; find backends frontends kernel libs passes -type f \( -name '*.h' -o -name '*.hh' \); } > qtcreator.files
692 { echo .; find backends frontends kernel libs passes -type f \( -name '*.h' -o -name '*.hh' \) -printf '%h\n' | sort -u; } > qtcreator.includes
693 touch qtcreator.config qtcreator.creator
694
695 vcxsrc: $(GENFILES) $(EXTRA_TARGETS)
696 rm -rf yosys-win32-vcxsrc-$(YOSYS_VER){,.zip}
697 set -e; for f in `ls $(filter %.cc %.cpp,$(GENFILES)) $(addsuffix .cc,$(basename $(OBJS))) $(addsuffix .cpp,$(basename $(OBJS))) 2> /dev/null`; do \
698 echo "Analyse: $$f" >&2; cpp -std=c++11 -MM -I. -D_YOSYS_ $$f; done | sed 's,.*:,,; s,//*,/,g; s,/[^/]*/\.\./,/,g; y, \\,\n\n,;' | grep '^[^/]' | sort -u | grep -v kernel/version_ > srcfiles.txt
699 bash misc/create_vcxsrc.sh yosys-win32-vcxsrc $(YOSYS_VER) $(GIT_REV)
700 echo "namespace Yosys { extern const char *yosys_version_str; const char *yosys_version_str=\"Yosys (Version Information Unavailable)\"; }" > kernel/version.cc
701 zip yosys-win32-vcxsrc-$(YOSYS_VER)/genfiles.zip $(GENFILES) kernel/version.cc
702 zip -r yosys-win32-vcxsrc-$(YOSYS_VER).zip yosys-win32-vcxsrc-$(YOSYS_VER)/
703 rm -f srcfiles.txt kernel/version.cc
704
705 ifeq ($(CONFIG),mxe)
706 mxebin: $(TARGETS) $(EXTRA_TARGETS)
707 rm -rf yosys-win32-mxebin-$(YOSYS_VER){,.zip}
708 mkdir -p yosys-win32-mxebin-$(YOSYS_VER)
709 cp -r yosys.exe share/ yosys-win32-mxebin-$(YOSYS_VER)/
710 ifeq ($(ENABLE_ABC),1)
711 cp -r yosys-abc.exe abc/lib/x86/pthreadVC2.dll yosys-win32-mxebin-$(YOSYS_VER)/
712 endif
713 echo -en 'This is Yosys $(YOSYS_VER) for Win32.\r\n' > yosys-win32-mxebin-$(YOSYS_VER)/readme.txt
714 echo -en 'Documentation at http://www.clifford.at/yosys/.\r\n' >> yosys-win32-mxebin-$(YOSYS_VER)/readme.txt
715 zip -r yosys-win32-mxebin-$(YOSYS_VER).zip yosys-win32-mxebin-$(YOSYS_VER)/
716 endif
717
718 config-clean: clean
719 rm -f Makefile.conf
720
721 config-clang: clean
722 echo 'CONFIG := clang' > Makefile.conf
723
724 config-gcc: clean
725 echo 'CONFIG := gcc' > Makefile.conf
726
727 config-gcc-static: clean
728 echo 'CONFIG := gcc-static' > Makefile.conf
729 echo 'ENABLE_PLUGINS := 0' >> Makefile.conf
730 echo 'ENABLE_READLINE := 0' >> Makefile.conf
731 echo 'ENABLE_TCL := 0' >> Makefile.conf
732
733 config-gcc-4.8: clean
734 echo 'CONFIG := gcc-4.8' > Makefile.conf
735
736 config-emcc: clean
737 echo 'CONFIG := emcc' > Makefile.conf
738 echo 'ENABLE_TCL := 0' >> Makefile.conf
739 echo 'ENABLE_ABC := 0' >> Makefile.conf
740 echo 'ENABLE_PLUGINS := 0' >> Makefile.conf
741 echo 'ENABLE_READLINE := 0' >> Makefile.conf
742
743 config-mxe: clean
744 echo 'CONFIG := mxe' > Makefile.conf
745 echo 'ENABLE_PLUGINS := 0' >> Makefile.conf
746
747 config-msys2: clean
748 echo 'CONFIG := msys2' > Makefile.conf
749
750 config-msys2-64: clean
751 echo 'CONFIG := msys2-64' > Makefile.conf
752
753 config-cygwin: clean
754 echo 'CONFIG := cygwin' > Makefile.conf
755
756 config-gcov: clean
757 echo 'CONFIG := gcc' > Makefile.conf
758 echo 'ENABLE_GCOV := 1' >> Makefile.conf
759 echo 'ENABLE_DEBUG := 1' >> Makefile.conf
760
761 config-gprof: clean
762 echo 'CONFIG := gcc' > Makefile.conf
763 echo 'ENABLE_GPROF := 1' >> Makefile.conf
764
765 config-sudo:
766 echo "INSTALL_SUDO := sudo" >> Makefile.conf
767
768 echo-yosys-ver:
769 @echo "$(YOSYS_VER)"
770
771 echo-git-rev:
772 @echo "$(GIT_REV)"
773
774 -include libs/*/*.d
775 -include frontends/*/*.d
776 -include passes/*/*.d
777 -include backends/*/*.d
778 -include kernel/*.d
779 -include techlibs/*/*.d
780
781 .PHONY: all top-all abc test install install-abc manual clean mrproper qtcreator coverage vcxsrc mxebin
782 .PHONY: config-clean config-clang config-gcc config-gcc-static config-gcc-4.8 config-gprof config-sudo
783