Add alumacc versions of opt_expr tests
[yosys.git] / Makefile
1
2 CONFIG := clang
3 # CONFIG := gcc
4 # CONFIG := gcc-4.8
5 # CONFIG := afl-gcc
6 # CONFIG := emcc
7 # CONFIG := mxe
8 # CONFIG := msys2
9 # CONFIG := msys2-64
10
11 # features (the more the better)
12 ENABLE_TCL := 1
13 ENABLE_ABC := 1
14 ENABLE_GLOB := 1
15 ENABLE_PLUGINS := 1
16 ENABLE_READLINE := 1
17 ENABLE_EDITLINE := 0
18 ENABLE_VERIFIC := 0
19 ENABLE_COVER := 1
20 ENABLE_LIBYOSYS := 0
21 ENABLE_PROTOBUF := 0
22 ENABLE_ZLIB := 1
23
24 # python wrappers
25 ENABLE_PYOSYS := 0
26
27 # other configuration flags
28 ENABLE_GCOV := 0
29 ENABLE_GPROF := 0
30 ENABLE_DEBUG := 0
31 ENABLE_NDEBUG := 0
32 LINK_CURSES := 0
33 LINK_TERMCAP := 0
34 LINK_ABC := 0
35 # Needed for environments that don't have proper thread support (i.e. emscripten)
36 DISABLE_ABC_THREADS := 0
37
38 # clang sanitizers
39 SANITIZER =
40 # SANITIZER = address
41 # SANITIZER = memory
42 # SANITIZER = undefined
43 # SANITIZER = cfi
44
45
46 OS := $(shell uname -s)
47 PREFIX ?= /usr/local
48 INSTALL_SUDO :=
49
50 ifneq ($(wildcard Makefile.conf),)
51 include Makefile.conf
52 endif
53
54 BINDIR := $(PREFIX)/bin
55 LIBDIR := $(PREFIX)/lib
56 DATDIR := $(PREFIX)/share/yosys
57
58 EXE =
59 OBJS =
60 GENFILES =
61 EXTRA_OBJS =
62 EXTRA_TARGETS =
63 TARGETS = yosys$(EXE) yosys-config
64
65 PRETTY = 1
66 SMALL = 0
67
68 # Unit test
69 UNITESTPATH := tests/unit
70
71 all: top-all
72
73 YOSYS_SRC := $(dir $(firstword $(MAKEFILE_LIST)))
74 VPATH := $(YOSYS_SRC)
75
76 CXXFLAGS := $(CXXFLAGS) -Wall -Wextra -ggdb -I. -I"$(YOSYS_SRC)" -MD -D_YOSYS_ -fPIC -I$(PREFIX)/include
77 LDFLAGS := $(LDFLAGS) -L$(LIBDIR)
78 LDLIBS := $(LDLIBS) -lstdc++ -lm
79 PLUGIN_LDFLAGS :=
80
81 PKG_CONFIG ?= pkg-config
82 SED ?= sed
83 BISON ?= bison
84 STRIP ?= strip
85 AWK ?= awk
86
87 ifeq ($(OS), Darwin)
88 PLUGIN_LDFLAGS += -undefined dynamic_lookup
89
90 # homebrew search paths
91 ifneq ($(shell which brew),)
92 BREW_PREFIX := $(shell brew --prefix)/opt
93 $(info $$BREW_PREFIX is [${BREW_PREFIX}])
94 CXXFLAGS += -I$(BREW_PREFIX)/boost/include/boost
95 LDFLAGS += -L$(BREW_PREFIX)/boost/lib
96 CXXFLAGS += -I$(BREW_PREFIX)/readline/include
97 LDFLAGS += -L$(BREW_PREFIX)/readline/lib
98 PKG_CONFIG_PATH := $(BREW_PREFIX)/libffi/lib/pkgconfig:$(PKG_CONFIG_PATH)
99 PKG_CONFIG_PATH := $(BREW_PREFIX)/tcl-tk/lib/pkgconfig:$(PKG_CONFIG_PATH)
100 export PATH := $(BREW_PREFIX)/bison/bin:$(BREW_PREFIX)/gettext/bin:$(BREW_PREFIX)/flex/bin:$(PATH)
101
102 # macports search paths
103 else ifneq ($(shell which port),)
104 PORT_PREFIX := $(patsubst %/bin/port,%,$(shell which port))
105 CXXFLAGS += -I$(PORT_PREFIX)/include
106 LDFLAGS += -L$(PORT_PREFIX)/lib
107 PKG_CONFIG_PATH := $(PORT_PREFIX)/lib/pkgconfig:$(PKG_CONFIG_PATH)
108 export PATH := $(PORT_PREFIX)/bin:$(PATH)
109 endif
110
111 else
112 LDFLAGS += -rdynamic
113 LDLIBS += -lrt
114 endif
115
116 YOSYS_VER := 0.8+$(shell cd $(YOSYS_SRC) && test -e .git && { git log --author=clifford@clifford.at --oneline 4d4665b.. 2> /dev/null | wc -l; })
117 GIT_REV := $(shell cd $(YOSYS_SRC) && git rev-parse --short HEAD 2> /dev/null || echo UNKNOWN)
118 OBJS = kernel/version_$(GIT_REV).o
119
120 # set 'ABCREV = default' to use abc/ as it is
121 #
122 # Note: If you do ABC development, make sure that 'abc' in this directory
123 # is just a symlink to your actual ABC working directory, as 'make mrproper'
124 # will remove the 'abc' directory and you do not want to accidentally
125 # delete your work on ABC..
126 ABCREV = 5776ad0
127 ABCPULL = 1
128 ABCURL ?= https://github.com/berkeley-abc/abc
129 ABCMKARGS = CC="$(CXX)" CXX="$(CXX)" ABC_USE_LIBSTDCXX=1
130
131 # set ABCEXTERNAL = <abc-command> to use an external ABC instance
132 # Note: The in-tree ABC (yosys-abc) will not be installed when ABCEXTERNAL is set.
133 ABCEXTERNAL ?=
134
135 define newline
136
137
138 endef
139
140 ifneq ($(wildcard Makefile.conf),)
141 $(info $(subst $$--$$,$(newline),$(shell sed 's,^,[Makefile.conf] ,; s,$$,$$--$$,;' < Makefile.conf | tr -d '\n' | sed 's,\$$--\$$$$,,')))
142 include Makefile.conf
143 endif
144
145 ifeq ($(ENABLE_PYOSYS),1)
146 PYTHON_VERSION_TESTCODE := "import sys;t='{v[0]}.{v[1]}'.format(v=list(sys.version_info[:2]));print(t)"
147 PYTHON_EXECUTABLE := $(shell if python3 -c ""; then echo "python3"; else echo "python"; fi)
148 PYTHON_VERSION := $(shell $(PYTHON_EXECUTABLE) -c ""$(PYTHON_VERSION_TESTCODE)"")
149 PYTHON_MAJOR_VERSION := $(shell echo $(PYTHON_VERSION) | cut -f1 -d.)
150 PYTHON_PREFIX := $(shell $(PYTHON_EXECUTABLE)-config --prefix)
151 PYTHON_DESTDIR := $(PYTHON_PREFIX)/lib/python$(PYTHON_VERSION)/site-packages
152
153 # Reload Makefile.conf to override python specific variables if defined
154 ifneq ($(wildcard Makefile.conf),)
155 include Makefile.conf
156 endif
157
158 endif
159
160 ifeq ($(CONFIG),clang)
161 CXX = clang
162 LD = clang++
163 CXXFLAGS += -std=c++11 -Os
164 ABCMKARGS += ARCHFLAGS="-DABC_USE_STDINT_H"
165
166 ifneq ($(SANITIZER),)
167 $(info [Clang Sanitizer] $(SANITIZER))
168 CXXFLAGS += -g -O1 -fno-omit-frame-pointer -fno-optimize-sibling-calls -fsanitize=$(SANITIZER)
169 LDFLAGS += -g -fsanitize=$(SANITIZER)
170 ifeq ($(SANITIZER),address)
171 ENABLE_COVER := 0
172 endif
173 ifeq ($(SANITIZER),memory)
174 CXXFLAGS += -fPIE -fsanitize-memory-track-origins
175 LDFLAGS += -fPIE -fsanitize-memory-track-origins
176 endif
177 ifeq ($(SANITIZER),cfi)
178 CXXFLAGS += -flto
179 LDFLAGS += -flto
180 endif
181 endif
182
183 else ifeq ($(CONFIG),gcc)
184 CXX = gcc
185 LD = gcc
186 CXXFLAGS += -std=c++11 -Os
187 ABCMKARGS += ARCHFLAGS="-DABC_USE_STDINT_H"
188
189 else ifeq ($(CONFIG),gcc-static)
190 LD = $(CXX)
191 LDFLAGS := $(filter-out -rdynamic,$(LDFLAGS)) -static
192 LDLIBS := $(filter-out -lrt,$(LDLIBS))
193 CXXFLAGS := $(filter-out -fPIC,$(CXXFLAGS))
194 CXXFLAGS += -std=c++11 -Os
195 ABCMKARGS = CC="$(CC)" CXX="$(CXX)" LD="$(LD)" ABC_USE_LIBSTDCXX=1 LIBS="-lm -lpthread -static" OPTFLAGS="-O" \
196 ARCHFLAGS="-DABC_USE_STDINT_H -DABC_NO_DYNAMIC_LINKING=1 -Wno-unused-but-set-variable $(ARCHFLAGS)" ABC_USE_NO_READLINE=1
197 ifeq ($(DISABLE_ABC_THREADS),1)
198 ABCMKARGS += "ABC_USE_NO_PTHREADS=1"
199 endif
200
201 else ifeq ($(CONFIG),gcc-4.8)
202 CXX = gcc-4.8
203 LD = gcc-4.8
204 CXXFLAGS += -std=c++11 -Os
205 ABCMKARGS += ARCHFLAGS="-DABC_USE_STDINT_H"
206
207 else ifeq ($(CONFIG),afl-gcc)
208 CXX = AFL_QUIET=1 AFL_HARDEN=1 afl-gcc
209 LD = AFL_QUIET=1 AFL_HARDEN=1 afl-gcc
210 CXXFLAGS += -std=c++11 -Os
211 ABCMKARGS += ARCHFLAGS="-DABC_USE_STDINT_H"
212
213 else ifeq ($(CONFIG),cygwin)
214 CXX = gcc
215 LD = gcc
216 CXXFLAGS += -std=gnu++11 -Os
217 ABCMKARGS += ARCHFLAGS="-DABC_USE_STDINT_H"
218
219 else ifeq ($(CONFIG),emcc)
220 CXX = emcc
221 LD = emcc
222 CXXFLAGS := -std=c++11 $(filter-out -fPIC -ggdb,$(CXXFLAGS))
223 ABCMKARGS += ARCHFLAGS="-DABC_USE_STDINT_H -DABC_MEMALIGN=8"
224 EMCCFLAGS := -Os -Wno-warn-absolute-paths
225 EMCCFLAGS += --memory-init-file 0 --embed-file share -s NO_EXIT_RUNTIME=1
226 EMCCFLAGS += -s EXPORTED_FUNCTIONS="['_main','_run','_prompt','_errmsg']"
227 EMCCFLAGS += -s TOTAL_MEMORY=128*1024*1024
228 # https://github.com/kripken/emscripten/blob/master/src/settings.js
229 CXXFLAGS += $(EMCCFLAGS)
230 LDFLAGS += $(EMCCFLAGS)
231 LDLIBS =
232 EXE = .js
233
234 TARGETS := $(filter-out yosys-config,$(TARGETS))
235 EXTRA_TARGETS += yosysjs-$(YOSYS_VER).zip
236
237 ifeq ($(ENABLE_ABC),1)
238 LINK_ABC := 1
239 DISABLE_ABC_THREADS := 1
240 endif
241
242 viz.js:
243 wget -O viz.js.part https://github.com/mdaines/viz.js/releases/download/0.0.3/viz.js
244 mv viz.js.part viz.js
245
246 yosysjs-$(YOSYS_VER).zip: yosys.js viz.js misc/yosysjs/*
247 rm -rf yosysjs-$(YOSYS_VER) yosysjs-$(YOSYS_VER).zip
248 mkdir -p yosysjs-$(YOSYS_VER)
249 cp viz.js misc/yosysjs/* yosys.js yosysjs-$(YOSYS_VER)/
250 zip -r yosysjs-$(YOSYS_VER).zip yosysjs-$(YOSYS_VER)
251
252 yosys.html: misc/yosys.html
253 $(P) cp misc/yosys.html yosys.html
254
255 else ifeq ($(CONFIG),mxe)
256 PKG_CONFIG = /usr/local/src/mxe/usr/bin/i686-w64-mingw32.static-pkg-config
257 CXX = /usr/local/src/mxe/usr/bin/i686-w64-mingw32.static-g++
258 LD = /usr/local/src/mxe/usr/bin/i686-w64-mingw32.static-g++
259 CXXFLAGS += -std=c++11 -Os -D_POSIX_SOURCE -DYOSYS_MXE_HACKS -Wno-attributes
260 CXXFLAGS := $(filter-out -fPIC,$(CXXFLAGS))
261 LDFLAGS := $(filter-out -rdynamic,$(LDFLAGS)) -s
262 LDLIBS := $(filter-out -lrt,$(LDLIBS))
263 ABCMKARGS += ARCHFLAGS="-DWIN32_NO_DLL -DHAVE_STRUCT_TIMESPEC -fpermissive -w"
264 # TODO: Try to solve pthread linking issue in more appropriate way
265 ABCMKARGS += LIBS="lib/x86/pthreadVC2.lib -s" LDFLAGS="-Wl,--allow-multiple-definition" ABC_USE_NO_READLINE=1 CC="/usr/local/src/mxe/usr/bin/i686-w64-mingw32.static-gcc"
266 EXE = .exe
267
268 else ifeq ($(CONFIG),msys2)
269 CXX = i686-w64-mingw32-g++
270 LD = i686-w64-mingw32-g++
271 CXXFLAGS += -std=c++11 -Os -D_POSIX_SOURCE -DYOSYS_WIN32_UNIX_DIR
272 CXXFLAGS := $(filter-out -fPIC,$(CXXFLAGS))
273 LDFLAGS := $(filter-out -rdynamic,$(LDFLAGS)) -s
274 LDLIBS := $(filter-out -lrt,$(LDLIBS))
275 ABCMKARGS += ARCHFLAGS="-DABC_USE_STDINT_H -DWIN32_NO_DLL -DHAVE_STRUCT_TIMESPEC -fpermissive -w"
276 ABCMKARGS += LIBS="-lpthread -s" ABC_USE_NO_READLINE=0 CC="i686-w64-mingw32-gcc" CXX="$(CXX)"
277 EXE = .exe
278
279 else ifeq ($(CONFIG),msys2-64)
280 CXX = x86_64-w64-mingw32-g++
281 LD = x86_64-w64-mingw32-g++
282 CXXFLAGS += -std=c++11 -Os -D_POSIX_SOURCE -DYOSYS_WIN32_UNIX_DIR
283 CXXFLAGS := $(filter-out -fPIC,$(CXXFLAGS))
284 LDFLAGS := $(filter-out -rdynamic,$(LDFLAGS)) -s
285 LDLIBS := $(filter-out -lrt,$(LDLIBS))
286 ABCMKARGS += ARCHFLAGS="-DABC_USE_STDINT_H -DWIN32_NO_DLL -DHAVE_STRUCT_TIMESPEC -fpermissive -w"
287 ABCMKARGS += LIBS="-lpthread -s" ABC_USE_NO_READLINE=0 CC="x86_64-w64-mingw32-gcc" CXX="$(CXX)"
288 EXE = .exe
289
290 else ifneq ($(CONFIG),none)
291 $(error Invalid CONFIG setting '$(CONFIG)'. Valid values: clang, gcc, gcc-4.8, emcc, mxe, msys2, msys2-64)
292 endif
293
294 ifeq ($(ENABLE_LIBYOSYS),1)
295 TARGETS += libyosys.so
296 endif
297
298 ifeq ($(ENABLE_PYOSYS),1)
299
300 #Detect name of boost_python library. Some distros usbe boost_python-py<version>, other boost_python<version>, some only use the major version number, some a concatenation of major and minor version numbers
301 ifeq ($(OS), Darwin)
302 BOOST_PYTHON_LIB ?= $(shell \
303 if echo "int main(int argc, char ** argv) {return 0;}" | $(CXX) -xc -o /dev/null $(shell $(PYTHON_EXECUTABLE)-config --ldflags) -lboost_python-py$(subst .,,$(PYTHON_VERSION)) - > /dev/null 2>&1; then echo "-lboost_python-py$(subst .,,$(PYTHON_VERSION))"; else \
304 if echo "int main(int argc, char ** argv) {return 0;}" | $(CXX) -xc -o /dev/null $(shell $(PYTHON_EXECUTABLE)-config --ldflags) -lboost_python-py$(subst .,,$(PYTHON_MAJOR_VERSION)) - > /dev/null 2>&1; then echo "-lboost_python-py$(subst .,,$(PYTHON_MAJOR_VERSION))"; else \
305 if echo "int main(int argc, char ** argv) {return 0;}" | $(CXX) -xc -o /dev/null $(shell $(PYTHON_EXECUTABLE)-config --ldflags) -lboost_python$(subst .,,$(PYTHON_VERSION)) - > /dev/null 2>&1; then echo "-lboost_python$(subst .,,$(PYTHON_VERSION))"; else \
306 if echo "int main(int argc, char ** argv) {return 0;}" | $(CXX) -xc -o /dev/null $(shell $(PYTHON_EXECUTABLE)-config --ldflags) -lboost_python$(subst .,,$(PYTHON_MAJOR_VERSION)) - > /dev/null 2>&1; then echo "-lboost_python$(subst .,,$(PYTHON_MAJOR_VERSION))"; else \
307 echo ""; fi; fi; fi; fi;)
308 else
309 BOOST_PYTHON_LIB ?= $(shell \
310 if echo "int main(int argc, char ** argv) {return 0;}" | $(CXX) -xc -o /dev/null `$(PYTHON_EXECUTABLE)-config --libs` -lboost_python-py$(subst .,,$(PYTHON_VERSION)) - > /dev/null 2>&1; then echo "-lboost_python-py$(subst .,,$(PYTHON_VERSION))"; else \
311 if echo "int main(int argc, char ** argv) {return 0;}" | $(CXX) -xc -o /dev/null `$(PYTHON_EXECUTABLE)-config --libs` -lboost_python-py$(subst .,,$(PYTHON_MAJOR_VERSION)) - > /dev/null 2>&1; then echo "-lboost_python-py$(subst .,,$(PYTHON_MAJOR_VERSION))"; else \
312 if echo "int main(int argc, char ** argv) {return 0;}" | $(CXX) -xc -o /dev/null `$(PYTHON_EXECUTABLE)-config --libs` -lboost_python$(subst .,,$(PYTHON_VERSION)) - > /dev/null 2>&1; then echo "-lboost_python$(subst .,,$(PYTHON_VERSION))"; else \
313 if echo "int main(int argc, char ** argv) {return 0;}" | $(CXX) -xc -o /dev/null `$(PYTHON_EXECUTABLE)-config --libs` -lboost_python$(subst .,,$(PYTHON_MAJOR_VERSION)) - > /dev/null 2>&1; then echo "-lboost_python$(subst .,,$(PYTHON_MAJOR_VERSION))"; else \
314 echo ""; fi; fi; fi; fi;)
315 endif
316
317 ifeq ($(BOOST_PYTHON_LIB),)
318 $(error BOOST_PYTHON_LIB could not be detected. Please define manualy)
319 endif
320
321 ifeq ($(OS), Darwin)
322 ifeq ($(PYTHON_MAJOR_VERSION),3)
323 LDLIBS += $(shell $(PYTHON_EXECUTABLE)-config --ldflags) $(BOOST_PYTHON_LIB) -lboost_system -lboost_filesystem
324 CXXFLAGS += $(shell $(PYTHON_EXECUTABLE)-config --includes) -DWITH_PYTHON
325 else
326 LDLIBS += $(shell $(PYTHON_EXECUTABLE)-config --ldflags) $(BOOST_PYTHON_LIB) -lboost_system -lboost_filesystem
327 CXXFLAGS += $(shell $(PYTHON_EXECUTABLE)-config --includes) -DWITH_PYTHON
328 endif
329 else
330 ifeq ($(PYTHON_MAJOR_VERSION),3)
331 LDLIBS += $(shell $(PYTHON_EXECUTABLE)-config --libs) $(BOOST_PYTHON_LIB) -lboost_system -lboost_filesystem
332 CXXFLAGS += $(shell $(PYTHON_EXECUTABLE)-config --includes) -DWITH_PYTHON
333 else
334 LDLIBS += $(shell $(PYTHON_EXECUTABLE)-config --libs) $(BOOST_PYTHON_LIB) -lboost_system -lboost_filesystem
335 CXXFLAGS += $(shell $(PYTHON_EXECUTABLE)-config --includes) -DWITH_PYTHON
336 endif
337 endif
338
339 ifeq ($(ENABLE_PYOSYS),1)
340 PY_WRAPPER_FILE = kernel/python_wrappers
341 OBJS += $(PY_WRAPPER_FILE).o
342 PY_GEN_SCRIPT= py_wrap_generator
343 PY_WRAP_INCLUDES := $(shell python$(PYTHON_VERSION) -c "from misc import $(PY_GEN_SCRIPT); $(PY_GEN_SCRIPT).print_includes()")
344 endif
345 endif
346
347 ifeq ($(ENABLE_READLINE),1)
348 CXXFLAGS += -DYOSYS_ENABLE_READLINE
349 ifeq ($(OS), FreeBSD)
350 CXXFLAGS += -I/usr/local/include
351 endif
352 LDLIBS += -lreadline
353 ifeq ($(LINK_CURSES),1)
354 LDLIBS += -lcurses
355 ABCMKARGS += "ABC_READLINE_LIBRARIES=-lcurses -lreadline"
356 endif
357 ifeq ($(LINK_TERMCAP),1)
358 LDLIBS += -ltermcap
359 ABCMKARGS += "ABC_READLINE_LIBRARIES=-lreadline -ltermcap"
360 endif
361 ifeq ($(CONFIG),mxe)
362 LDLIBS += -ltermcap
363 endif
364 else
365 ifeq ($(ENABLE_EDITLINE),1)
366 CXXFLAGS += -DYOSYS_ENABLE_EDITLINE
367 LDLIBS += -ledit -ltinfo -lbsd
368 else
369 ABCMKARGS += "ABC_USE_NO_READLINE=1"
370 endif
371 endif
372
373 ifeq ($(DISABLE_ABC_THREADS),1)
374 ABCMKARGS += "ABC_USE_NO_PTHREADS=1"
375 endif
376
377 ifeq ($(ENABLE_PLUGINS),1)
378 CXXFLAGS += $(shell PKG_CONFIG_PATH=$(PKG_CONFIG_PATH) $(PKG_CONFIG) --silence-errors --cflags libffi) -DYOSYS_ENABLE_PLUGINS
379 LDLIBS += $(shell PKG_CONFIG_PATH=$(PKG_CONFIG_PATH) $(PKG_CONFIG) --silence-errors --libs libffi || echo -lffi)
380 ifneq ($(OS), FreeBSD)
381 LDLIBS += -ldl
382 endif
383 endif
384
385 ifeq ($(ENABLE_GLOB),1)
386 CXXFLAGS += -DYOSYS_ENABLE_GLOB
387 endif
388
389 ifeq ($(ENABLE_ZLIB),1)
390 CXXFLAGS += -DYOSYS_ENABLE_ZLIB
391 LDLIBS += -lz
392 endif
393
394
395 ifeq ($(ENABLE_TCL),1)
396 TCL_VERSION ?= tcl$(shell bash -c "tclsh <(echo 'puts [info tclversion]')")
397 ifeq ($(OS), FreeBSD)
398 TCL_INCLUDE ?= /usr/local/include/$(TCL_VERSION)
399 else
400 TCL_INCLUDE ?= /usr/include/$(TCL_VERSION)
401 endif
402
403 ifeq ($(CONFIG),mxe)
404 CXXFLAGS += -DYOSYS_ENABLE_TCL
405 LDLIBS += -ltcl86 -lwsock32 -lws2_32 -lnetapi32 -lz -luserenv
406 else
407 CXXFLAGS += $(shell PKG_CONFIG_PATH=$(PKG_CONFIG_PATH) $(PKG_CONFIG) --silence-errors --cflags tcl || echo -I$(TCL_INCLUDE)) -DYOSYS_ENABLE_TCL
408 ifeq ($(OS), FreeBSD)
409 # FreeBSD uses tcl8.6, but lib is named "libtcl86"
410 LDLIBS += $(shell PKG_CONFIG_PATH=$(PKG_CONFIG_PATH) $(PKG_CONFIG) --silence-errors --libs tcl || echo -l$(TCL_VERSION) | tr -d '.')
411 else
412 LDLIBS += $(shell PKG_CONFIG_PATH=$(PKG_CONFIG_PATH) $(PKG_CONFIG) --silence-errors --libs tcl || echo -l$(TCL_VERSION))
413 endif
414 endif
415 endif
416
417 ifeq ($(ENABLE_GCOV),1)
418 CXXFLAGS += --coverage
419 LDFLAGS += --coverage
420 endif
421
422 ifeq ($(ENABLE_GPROF),1)
423 CXXFLAGS += -pg
424 LDFLAGS += -pg
425 endif
426
427 ifeq ($(ENABLE_NDEBUG),1)
428 CXXFLAGS := -O3 -DNDEBUG $(filter-out -Os -ggdb,$(CXXFLAGS))
429 endif
430
431 ifeq ($(ENABLE_DEBUG),1)
432 ifeq ($(CONFIG),clang)
433 CXXFLAGS := -O0 -DDEBUG $(filter-out -Os,$(CXXFLAGS))
434 else
435 CXXFLAGS := -Og -DDEBUG $(filter-out -Os,$(CXXFLAGS))
436 endif
437 endif
438
439 ifeq ($(ENABLE_ABC),1)
440 CXXFLAGS += -DYOSYS_ENABLE_ABC
441 ifeq ($(LINK_ABC),1)
442 CXXFLAGS += -DYOSYS_LINK_ABC
443 ifeq ($(DISABLE_ABC_THREADS),0)
444 LDLIBS += -lpthread
445 endif
446 else
447 ifeq ($(ABCEXTERNAL),)
448 TARGETS += yosys-abc$(EXE)
449 endif
450 endif
451 endif
452
453 ifeq ($(ENABLE_VERIFIC),1)
454 VERIFIC_DIR ?= /usr/local/src/verific_lib
455 VERIFIC_COMPONENTS ?= verilog vhdl database util containers hier_tree
456 CXXFLAGS += $(patsubst %,-I$(VERIFIC_DIR)/%,$(VERIFIC_COMPONENTS)) -DYOSYS_ENABLE_VERIFIC
457 ifeq ($(OS), Darwin)
458 LDLIBS += $(patsubst %,$(VERIFIC_DIR)/%/*-mac.a,$(VERIFIC_COMPONENTS)) -lz
459 else
460 LDLIBS += $(patsubst %,$(VERIFIC_DIR)/%/*-linux.a,$(VERIFIC_COMPONENTS)) -lz
461 endif
462 endif
463
464 ifeq ($(ENABLE_PROTOBUF),1)
465 LDLIBS += $(shell pkg-config --cflags --libs protobuf)
466 endif
467
468 ifeq ($(ENABLE_COVER),1)
469 CXXFLAGS += -DYOSYS_ENABLE_COVER
470 endif
471
472 define add_share_file
473 EXTRA_TARGETS += $(subst //,/,$(1)/$(notdir $(2)))
474 $(subst //,/,$(1)/$(notdir $(2))): $(2)
475 $$(P) mkdir -p $(1)
476 $$(Q) cp "$(YOSYS_SRC)"/$(2) $(subst //,/,$(1)/$(notdir $(2)))
477 endef
478
479 define add_gen_share_file
480 EXTRA_TARGETS += $(subst //,/,$(1)/$(notdir $(2)))
481 $(subst //,/,$(1)/$(notdir $(2))): $(2)
482 $$(P) mkdir -p $(1)
483 $$(Q) cp $(2) $(subst //,/,$(1)/$(notdir $(2)))
484 endef
485
486 define add_include_file
487 $(eval $(call add_share_file,$(dir share/include/$(1)),$(1)))
488 endef
489
490 ifeq ($(PRETTY), 1)
491 P_STATUS = 0
492 P_OFFSET = 0
493 P_UPDATE = $(eval P_STATUS=$(shell echo $(OBJS) yosys$(EXE) | $(AWK) 'BEGIN { RS = " "; I = $(P_STATUS)+0; } $$1 == "$@" && NR > I { I = NR; } END { print I; }'))
494 P_SHOW = [$(shell $(AWK) "BEGIN { N=$(words $(OBJS) yosys$(EXE)); printf \"%3d\", $(P_OFFSET)+90*$(P_STATUS)/N; exit; }")%]
495 P = @echo "$(if $(findstring $@,$(TARGETS) $(EXTRA_TARGETS)),$(eval P_OFFSET = 10))$(call P_UPDATE)$(call P_SHOW) Building $@";
496 Q = @
497 S = -s
498 else
499 P_SHOW = ->
500 P =
501 Q =
502 S =
503 endif
504
505 $(eval $(call add_include_file,kernel/yosys.h))
506 $(eval $(call add_include_file,kernel/hashlib.h))
507 $(eval $(call add_include_file,kernel/log.h))
508 $(eval $(call add_include_file,kernel/rtlil.h))
509 $(eval $(call add_include_file,kernel/register.h))
510 $(eval $(call add_include_file,kernel/celltypes.h))
511 $(eval $(call add_include_file,kernel/celledges.h))
512 $(eval $(call add_include_file,kernel/consteval.h))
513 $(eval $(call add_include_file,kernel/sigtools.h))
514 $(eval $(call add_include_file,kernel/modtools.h))
515 $(eval $(call add_include_file,kernel/macc.h))
516 $(eval $(call add_include_file,kernel/utils.h))
517 $(eval $(call add_include_file,kernel/satgen.h))
518 $(eval $(call add_include_file,libs/ezsat/ezsat.h))
519 $(eval $(call add_include_file,libs/ezsat/ezminisat.h))
520 $(eval $(call add_include_file,libs/sha1/sha1.h))
521 $(eval $(call add_include_file,passes/fsm/fsmdata.h))
522 $(eval $(call add_include_file,frontends/ast/ast.h))
523 $(eval $(call add_include_file,backends/ilang/ilang_backend.h))
524
525 OBJS += kernel/driver.o kernel/register.o kernel/rtlil.o kernel/log.o kernel/calc.o kernel/yosys.o
526 OBJS += kernel/cellaigs.o kernel/celledges.o
527
528 kernel/log.o: CXXFLAGS += -DYOSYS_SRC='"$(YOSYS_SRC)"'
529 kernel/yosys.o: CXXFLAGS += -DYOSYS_DATDIR='"$(DATDIR)"'
530
531 OBJS += libs/bigint/BigIntegerAlgorithms.o libs/bigint/BigInteger.o libs/bigint/BigIntegerUtils.o
532 OBJS += libs/bigint/BigUnsigned.o libs/bigint/BigUnsignedInABase.o
533
534 OBJS += libs/sha1/sha1.o
535
536 ifneq ($(SMALL),1)
537
538 OBJS += libs/subcircuit/subcircuit.o
539
540 OBJS += libs/ezsat/ezsat.o
541 OBJS += libs/ezsat/ezminisat.o
542
543 OBJS += libs/minisat/Options.o
544 OBJS += libs/minisat/SimpSolver.o
545 OBJS += libs/minisat/Solver.o
546 OBJS += libs/minisat/System.o
547
548 include $(YOSYS_SRC)/frontends/*/Makefile.inc
549 include $(YOSYS_SRC)/passes/*/Makefile.inc
550 include $(YOSYS_SRC)/backends/*/Makefile.inc
551 include $(YOSYS_SRC)/techlibs/*/Makefile.inc
552
553 else
554
555 include frontends/verilog/Makefile.inc
556 include frontends/ilang/Makefile.inc
557 include frontends/ast/Makefile.inc
558 include frontends/blif/Makefile.inc
559
560 OBJS += passes/hierarchy/hierarchy.o
561 OBJS += passes/cmds/select.o
562 OBJS += passes/cmds/show.o
563 OBJS += passes/cmds/stat.o
564 OBJS += passes/cmds/cover.o
565 OBJS += passes/cmds/design.o
566 OBJS += passes/cmds/plugin.o
567
568 include passes/proc/Makefile.inc
569 include passes/opt/Makefile.inc
570 include passes/techmap/Makefile.inc
571
572 include backends/verilog/Makefile.inc
573 include backends/ilang/Makefile.inc
574
575 include techlibs/common/Makefile.inc
576
577 endif
578
579 ifeq ($(LINK_ABC),1)
580 OBJS += yosys-libabc.a
581 endif
582
583 top-all: $(TARGETS) $(EXTRA_TARGETS)
584 @echo ""
585 @echo " Build successful."
586 @echo ""
587
588 ifeq ($(CONFIG),emcc)
589 yosys.js: $(filter-out yosysjs-$(YOSYS_VER).zip,$(EXTRA_TARGETS))
590 endif
591
592 yosys$(EXE): $(OBJS)
593 $(P) $(LD) -o yosys$(EXE) $(LDFLAGS) $(OBJS) $(LDLIBS)
594
595 libyosys.so: $(filter-out kernel/driver.o,$(OBJS))
596 ifeq ($(OS), Darwin)
597 $(P) $(LD) -o libyosys.so -shared -Wl,-install_name,libyosys.so $(LDFLAGS) $^ $(LDLIBS)
598 else
599 $(P) $(LD) -o libyosys.so -shared -Wl,-soname,libyosys.so $(LDFLAGS) $^ $(LDLIBS)
600 endif
601
602 %.o: %.cc
603 $(Q) mkdir -p $(dir $@)
604 $(P) $(CXX) -o $@ -c $(CPPFLAGS) $(CXXFLAGS) $<
605
606 %.pyh: %.h
607 $(Q) mkdir -p $(dir $@)
608 $(P) cat $< | grep -E -v "#[ ]*(include|error)" | $(LD) -x c++ -o $@ -E -P -
609
610 ifeq ($(ENABLE_PYOSYS),1)
611 $(PY_WRAPPER_FILE).cc: misc/$(PY_GEN_SCRIPT).py $(PY_WRAP_INCLUDES)
612 $(Q) mkdir -p $(dir $@)
613 $(P) python$(PYTHON_VERSION) -c "from misc import $(PY_GEN_SCRIPT); $(PY_GEN_SCRIPT).gen_wrappers(\"$(PY_WRAPPER_FILE).cc\")"
614 endif
615
616 %.o: %.cpp
617 $(Q) mkdir -p $(dir $@)
618 $(P) $(CXX) -o $@ -c $(CPPFLAGS) $(CXXFLAGS) $<
619
620 YOSYS_VER_STR := Yosys $(YOSYS_VER) (git sha1 $(GIT_REV), $(notdir $(CXX)) $(shell \
621 $(CXX) --version | tr ' ()' '\n' | grep '^[0-9]' | head -n1) $(filter -f% -m% -O% -DNDEBUG,$(CXXFLAGS)))
622
623 kernel/version_$(GIT_REV).cc: $(YOSYS_SRC)/Makefile
624 $(P) rm -f kernel/version_*.o kernel/version_*.d kernel/version_*.cc
625 $(Q) mkdir -p kernel && echo "namespace Yosys { extern const char *yosys_version_str; const char *yosys_version_str=\"$(YOSYS_VER_STR)\"; }" > kernel/version_$(GIT_REV).cc
626
627 ifeq ($(ENABLE_VERIFIC),1)
628 CXXFLAGS_NOVERIFIC = $(foreach v,$(CXXFLAGS),$(if $(findstring $(VERIFIC_DIR),$(v)),,$(v)))
629 LDLIBS_NOVERIFIC = $(foreach v,$(LDLIBS),$(if $(findstring $(VERIFIC_DIR),$(v)),,$(v)))
630 else
631 CXXFLAGS_NOVERIFIC = $(CXXFLAGS)
632 LDLIBS_NOVERIFIC = $(LDLIBS)
633 endif
634
635 yosys-config: misc/yosys-config.in
636 $(P) $(SED) -e 's#@CXXFLAGS@#$(subst -I. -I"$(YOSYS_SRC)",-I"$(DATDIR)/include",$(strip $(CXXFLAGS_NOVERIFIC)))#;' \
637 -e 's#@CXX@#$(strip $(CXX))#;' -e 's#@LDFLAGS@#$(strip $(LDFLAGS) $(PLUGIN_LDFLAGS))#;' -e 's#@LDLIBS@#$(strip $(LDLIBS_NOVERIFIC))#;' \
638 -e 's#@BINDIR@#$(strip $(BINDIR))#;' -e 's#@DATDIR@#$(strip $(DATDIR))#;' < $< > yosys-config
639 $(Q) chmod +x yosys-config
640
641 abc/abc-$(ABCREV)$(EXE) abc/libabc-$(ABCREV).a:
642 $(P)
643 ifneq ($(ABCREV),default)
644 $(Q) if test -d abc/.hg; then \
645 echo 'REEBE: NOP qverpgbel vf n ut jbexvat pbcl! Erzbir nop/ naq er-eha "znxr".' | tr 'A-Za-z' 'N-ZA-Mn-za-m'; false; \
646 fi
647 $(Q) if ( cd abc 2> /dev/null && ! git diff-index --quiet HEAD; ); then \
648 echo 'REEBE: NOP pbagnvaf ybpny zbqvsvpngvbaf! Frg NOPERI=qrsnhyg va Lbflf Znxrsvyr!' | tr 'A-Za-z' 'N-ZA-Mn-za-m'; false; \
649 fi
650 $(Q) if test "`cd abc 2> /dev/null && git rev-parse --short HEAD`" != "$(ABCREV)"; then \
651 test $(ABCPULL) -ne 0 || { echo 'REEBE: NOP abg hc gb qngr naq NOPCHYY frg gb 0 va Znxrsvyr!' | tr 'A-Za-z' 'N-ZA-Mn-za-m'; exit 1; }; \
652 echo "Pulling ABC from $(ABCURL):"; set -x; \
653 test -d abc || git clone $(ABCURL) abc; \
654 cd abc && $(MAKE) DEP= clean && git fetch origin master && git checkout $(ABCREV); \
655 fi
656 endif
657 $(Q) rm -f abc/abc-[0-9a-f]*
658 $(Q) cd abc && $(MAKE) $(S) $(ABCMKARGS) $(if $(filter %.a,$@),PROG="abc-$(ABCREV)",PROG="abc-$(ABCREV)$(EXE)") MSG_PREFIX="$(eval P_OFFSET = 5)$(call P_SHOW)$(eval P_OFFSET = 10) ABC: " $(if $(filter %.a,$@),libabc-$(ABCREV).a)
659
660 ifeq ($(ABCREV),default)
661 .PHONY: abc/abc-$(ABCREV)$(EXE)
662 .PHONY: abc/libabc-$(ABCREV).a
663 endif
664
665 yosys-abc$(EXE): abc/abc-$(ABCREV)$(EXE)
666 $(P) cp abc/abc-$(ABCREV)$(EXE) yosys-abc$(EXE)
667
668 yosys-libabc.a: abc/libabc-$(ABCREV).a
669 $(P) cp abc/libabc-$(ABCREV).a yosys-libabc.a
670
671 ifneq ($(SEED),)
672 SEEDOPT="-S $(SEED)"
673 else
674 SEEDOPT=""
675 endif
676
677 ifneq ($(ABCEXTERNAL),)
678 ABCOPT="-A $(ABCEXTERNAL)"
679 else
680 ABCOPT=""
681 endif
682
683 test: $(TARGETS) $(EXTRA_TARGETS)
684 +cd tests/simple && bash run-test.sh $(SEEDOPT)
685 +cd tests/hana && bash run-test.sh $(SEEDOPT)
686 +cd tests/asicworld && bash run-test.sh $(SEEDOPT)
687 # +cd tests/realmath && bash run-test.sh $(SEEDOPT)
688 +cd tests/share && bash run-test.sh $(SEEDOPT)
689 +cd tests/fsm && bash run-test.sh $(SEEDOPT)
690 +cd tests/techmap && bash run-test.sh
691 +cd tests/memories && bash run-test.sh $(ABCOPT) $(SEEDOPT)
692 +cd tests/bram && bash run-test.sh $(SEEDOPT)
693 +cd tests/various && bash run-test.sh
694 +cd tests/sat && bash run-test.sh
695 +cd tests/svinterfaces && bash run-test.sh $(SEEDOPT)
696 +cd tests/opt && bash run-test.sh
697 +cd tests/aiger && bash run-test.sh $(ABCOPT)
698 +cd tests/arch && bash run-test.sh
699 +cd tests/simple_abc9 && bash run-test.sh $(SEEDOPT)
700 @echo ""
701 @echo " Passed \"make test\"."
702 @echo ""
703
704 VALGRIND ?= valgrind --error-exitcode=1 --leak-check=full --show-reachable=yes --errors-for-leak-kinds=all
705
706 vgtest: $(TARGETS) $(EXTRA_TARGETS)
707 $(VALGRIND) ./yosys -p 'setattr -mod -unset top; synth' $$( ls tests/simple/*.v | grep -v repwhile.v )
708 @echo ""
709 @echo " Passed \"make vgtest\"."
710 @echo ""
711
712 vloghtb: $(TARGETS) $(EXTRA_TARGETS)
713 +cd tests/vloghtb && bash run-test.sh
714 @echo ""
715 @echo " Passed \"make vloghtb\"."
716 @echo ""
717
718 ystests: $(TARGETS) $(EXTRA_TARGETS)
719 rm -rf tests/ystests
720 git clone https://github.com/YosysHQ/yosys-tests.git tests/ystests
721 +$(MAKE) PATH="$$PWD:$$PATH" -C tests/ystests
722 @echo ""
723 @echo " Finished \"make ystests\"."
724 @echo ""
725
726 # Unit test
727 unit-test: libyosys.so
728 @$(MAKE) -C $(UNITESTPATH) CXX="$(CXX)" CPPFLAGS="$(CPPFLAGS)" \
729 CXXFLAGS="$(CXXFLAGS)" LDLIBS="$(LDLIBS)" ROOTPATH="$(CURDIR)"
730
731 clean-unit-test:
732 @$(MAKE) -C $(UNITESTPATH) clean
733
734 install: $(TARGETS) $(EXTRA_TARGETS)
735 $(INSTALL_SUDO) mkdir -p $(DESTDIR)$(BINDIR)
736 $(INSTALL_SUDO) cp $(TARGETS) $(DESTDIR)$(BINDIR)
737 ifneq ($(filter yosys,$(TARGETS)),)
738 $(INSTALL_SUDO) $(STRIP) -S $(DESTDIR)$(BINDIR)/yosys
739 endif
740 ifneq ($(filter yosys-abc,$(TARGETS)),)
741 $(INSTALL_SUDO) $(STRIP) $(DESTDIR)$(BINDIR)/yosys-abc
742 endif
743 ifneq ($(filter yosys-filterlib,$(TARGETS)),)
744 $(INSTALL_SUDO) $(STRIP) $(DESTDIR)$(BINDIR)/yosys-filterlib
745 endif
746 $(INSTALL_SUDO) mkdir -p $(DESTDIR)$(DATDIR)
747 $(INSTALL_SUDO) cp -r share/. $(DESTDIR)$(DATDIR)/.
748 ifeq ($(ENABLE_LIBYOSYS),1)
749 $(INSTALL_SUDO) mkdir -p $(DESTDIR)$(LIBDIR)
750 $(INSTALL_SUDO) cp libyosys.so $(DESTDIR)$(LIBDIR)/
751 $(INSTALL_SUDO) $(STRIP) -S $(DESTDIR)$(LIBDIR)/libyosys.so
752 ifeq ($(ENABLE_PYOSYS),1)
753 $(INSTALL_SUDO) mkdir -p $(PYTHON_DESTDIR)/pyosys
754 $(INSTALL_SUDO) cp libyosys.so $(PYTHON_DESTDIR)/pyosys/
755 $(INSTALL_SUDO) cp misc/__init__.py $(PYTHON_DESTDIR)/pyosys/
756 endif
757 endif
758
759 uninstall:
760 $(INSTALL_SUDO) rm -vf $(addprefix $(DESTDIR)$(BINDIR)/,$(notdir $(TARGETS)))
761 $(INSTALL_SUDO) rm -rvf $(DESTDIR)$(DATDIR)
762 ifeq ($(ENABLE_LIBYOSYS),1)
763 $(INSTALL_SUDO) rm -vf $(DESTDIR)$(LIBDIR)/libyosys.so
764 ifeq ($(ENABLE_PYOSYS),1)
765 $(INSTALL_SUDO) rm -vf $(PYTHON_DESTDIR)/pyosys/libyosys.so
766 $(INSTALL_SUDO) rm -vf $(PYTHON_DESTDIR)/pyosys/__init__.py
767 $(INSTALL_SUDO) rmdir $(PYTHON_DESTDIR)/pyosys
768 endif
769 endif
770
771 update-manual: $(TARGETS) $(EXTRA_TARGETS)
772 cd manual && ../yosys -p 'help -write-tex-command-reference-manual'
773
774 manual: $(TARGETS) $(EXTRA_TARGETS)
775 cd manual && bash appnotes.sh
776 cd manual && bash presentation.sh
777 cd manual && bash manual.sh
778
779 clean:
780 rm -rf share
781 rm -rf kernel/*.pyh
782 if test -d manual; then cd manual && sh clean.sh; fi
783 rm -f $(OBJS) $(GENFILES) $(TARGETS) $(EXTRA_TARGETS) $(EXTRA_OBJS) $(PY_WRAP_INCLUDES) $(PY_WRAPPER_FILE).cc
784 rm -f kernel/version_*.o kernel/version_*.cc abc/abc-[0-9a-f]* abc/libabc-[0-9a-f]*.a
785 rm -f libs/*/*.d frontends/*/*.d passes/*/*.d backends/*/*.d kernel/*.d techlibs/*/*.d
786 rm -rf tests/asicworld/*.out tests/asicworld/*.log
787 rm -rf tests/hana/*.out tests/hana/*.log
788 rm -rf tests/simple/*.out tests/simple/*.log
789 rm -rf tests/memories/*.out tests/memories/*.log tests/memories/*.dmp
790 rm -rf tests/sat/*.log tests/techmap/*.log tests/various/*.log
791 rm -rf tests/bram/temp tests/fsm/temp tests/realmath/temp tests/share/temp tests/smv/temp
792 rm -rf vloghtb/Makefile vloghtb/refdat vloghtb/rtl vloghtb/scripts vloghtb/spec vloghtb/check_yosys vloghtb/vloghammer_tb.tar.bz2 vloghtb/temp vloghtb/log_test_*
793 rm -f tests/svinterfaces/*.log_stdout tests/svinterfaces/*.log_stderr tests/svinterfaces/dut_result.txt tests/svinterfaces/reference_result.txt tests/svinterfaces/a.out tests/svinterfaces/*_syn.v tests/svinterfaces/*.diff
794 rm -f tests/tools/cmp_tbdata
795
796 clean-abc:
797 $(MAKE) -C abc DEP= clean
798 rm -f yosys-abc$(EXE) yosys-libabc.a abc/abc-[0-9a-f]* abc/libabc-[0-9a-f]*.a
799
800 mrproper: clean
801 git clean -xdf
802
803 coverage:
804 ./yosys -qp 'help; help -all'
805 rm -rf coverage.info coverage_html
806 lcov --capture -d . --no-external -o coverage.info
807 genhtml coverage.info --output-directory coverage_html
808
809 qtcreator:
810 { for file in $(basename $(OBJS)); do \
811 for prefix in cc y l; do if [ -f $${file}.$${prefix} ]; then echo $$file.$${prefix}; fi; done \
812 done; find backends frontends kernel libs passes -type f \( -name '*.h' -o -name '*.hh' \); } > qtcreator.files
813 { echo .; find backends frontends kernel libs passes -type f \( -name '*.h' -o -name '*.hh' \) -printf '%h\n' | sort -u; } > qtcreator.includes
814 touch qtcreator.config qtcreator.creator
815
816 vcxsrc: $(GENFILES) $(EXTRA_TARGETS)
817 rm -rf yosys-win32-vcxsrc-$(YOSYS_VER){,.zip}
818 set -e; for f in `ls $(filter %.cc %.cpp,$(GENFILES)) $(addsuffix .cc,$(basename $(OBJS))) $(addsuffix .cpp,$(basename $(OBJS))) 2> /dev/null`; do \
819 echo "Analyse: $$f" >&2; cpp -std=c++11 -MM -I. -D_YOSYS_ $$f; done | sed 's,.*:,,; s,//*,/,g; s,/[^/]*/\.\./,/,g; y, \\,\n\n,;' | grep '^[^/]' | sort -u | grep -v kernel/version_ > srcfiles.txt
820 bash misc/create_vcxsrc.sh yosys-win32-vcxsrc $(YOSYS_VER) $(GIT_REV)
821 echo "namespace Yosys { extern const char *yosys_version_str; const char *yosys_version_str=\"Yosys (Version Information Unavailable)\"; }" > kernel/version.cc
822 zip yosys-win32-vcxsrc-$(YOSYS_VER)/genfiles.zip $(GENFILES) kernel/version.cc
823 zip -r yosys-win32-vcxsrc-$(YOSYS_VER).zip yosys-win32-vcxsrc-$(YOSYS_VER)/
824 rm -f srcfiles.txt kernel/version.cc
825
826 ifeq ($(CONFIG),mxe)
827 mxebin: $(TARGETS) $(EXTRA_TARGETS)
828 rm -rf yosys-win32-mxebin-$(YOSYS_VER){,.zip}
829 mkdir -p yosys-win32-mxebin-$(YOSYS_VER)
830 cp -r yosys.exe share/ yosys-win32-mxebin-$(YOSYS_VER)/
831 ifeq ($(ENABLE_ABC),1)
832 cp -r yosys-abc.exe abc/lib/x86/pthreadVC2.dll yosys-win32-mxebin-$(YOSYS_VER)/
833 endif
834 echo -en 'This is Yosys $(YOSYS_VER) for Win32.\r\n' > yosys-win32-mxebin-$(YOSYS_VER)/readme.txt
835 echo -en 'Documentation at http://www.clifford.at/yosys/.\r\n' >> yosys-win32-mxebin-$(YOSYS_VER)/readme.txt
836 zip -r yosys-win32-mxebin-$(YOSYS_VER).zip yosys-win32-mxebin-$(YOSYS_VER)/
837 endif
838
839 config-clean: clean
840 rm -f Makefile.conf
841
842 config-clang: clean
843 echo 'CONFIG := clang' > Makefile.conf
844
845 config-gcc: clean
846 echo 'CONFIG := gcc' > Makefile.conf
847
848 config-gcc-static: clean
849 echo 'CONFIG := gcc-static' > Makefile.conf
850 echo 'ENABLE_PLUGINS := 0' >> Makefile.conf
851 echo 'ENABLE_READLINE := 0' >> Makefile.conf
852 echo 'ENABLE_TCL := 0' >> Makefile.conf
853
854 config-gcc-4.8: clean
855 echo 'CONFIG := gcc-4.8' > Makefile.conf
856
857 config-afl-gcc: clean
858 echo 'CONFIG := afl-gcc' > Makefile.conf
859
860 config-emcc: clean
861 echo 'CONFIG := emcc' > Makefile.conf
862 echo 'ENABLE_TCL := 0' >> Makefile.conf
863 echo 'ENABLE_ABC := 0' >> Makefile.conf
864 echo 'ENABLE_PLUGINS := 0' >> Makefile.conf
865 echo 'ENABLE_READLINE := 0' >> Makefile.conf
866
867 config-mxe: clean
868 echo 'CONFIG := mxe' > Makefile.conf
869 echo 'ENABLE_PLUGINS := 0' >> Makefile.conf
870
871 config-msys2: clean
872 echo 'CONFIG := msys2' > Makefile.conf
873 echo 'ENABLE_PLUGINS := 0' >> Makefile.conf
874
875 config-msys2-64: clean
876 echo 'CONFIG := msys2-64' > Makefile.conf
877 echo 'ENABLE_PLUGINS := 0' >> Makefile.conf
878
879 config-cygwin: clean
880 echo 'CONFIG := cygwin' > Makefile.conf
881
882 config-gcov: clean
883 echo 'CONFIG := gcc' > Makefile.conf
884 echo 'ENABLE_GCOV := 1' >> Makefile.conf
885 echo 'ENABLE_DEBUG := 1' >> Makefile.conf
886
887 config-gprof: clean
888 echo 'CONFIG := gcc' > Makefile.conf
889 echo 'ENABLE_GPROF := 1' >> Makefile.conf
890
891 config-sudo:
892 echo "INSTALL_SUDO := sudo" >> Makefile.conf
893
894 echo-yosys-ver:
895 @echo "$(YOSYS_VER)"
896
897 echo-git-rev:
898 @echo "$(GIT_REV)"
899
900 -include libs/*/*.d
901 -include frontends/*/*.d
902 -include passes/*/*.d
903 -include backends/*/*.d
904 -include kernel/*.d
905 -include techlibs/*/*.d
906
907 .PHONY: all top-all abc test install install-abc manual clean mrproper qtcreator coverage vcxsrc mxebin
908 .PHONY: config-clean config-clang config-gcc config-gcc-static config-gcc-4.8 config-afl-gcc config-gprof config-sudo
909