Merge pull request #1026 from YosysHQ/clifford/fix1023
[yosys.git] / Makefile
1
2 CONFIG := clang
3 # CONFIG := gcc
4 # CONFIG := gcc-4.8
5 # CONFIG := emcc
6 # CONFIG := mxe
7 # CONFIG := msys2
8 # CONFIG := msys2-64
9
10 # features (the more the better)
11 ENABLE_TCL := 1
12 ENABLE_ABC := 1
13 ENABLE_GLOB := 1
14 ENABLE_PLUGINS := 1
15 ENABLE_READLINE := 1
16 ENABLE_EDITLINE := 0
17 ENABLE_VERIFIC := 0
18 ENABLE_COVER := 1
19 ENABLE_LIBYOSYS := 0
20 ENABLE_PROTOBUF := 0
21
22 # python wrappers
23 ENABLE_PYOSYS := 0
24 ifeq ($(ENABLE_PYOSYS),1)
25 PYTHON_VERSION_TESTCODE := "import sys;t='{v[0]}.{v[1]}'.format(v=list(sys.version_info[:2]));print(t)"
26 PYTHON_EXECUTABLE := $(shell if python3 -c ""; then echo "python3"; else echo "python"; fi)
27 PYTHON_VERSION := $(shell $(PYTHON_EXECUTABLE) -c ""$(PYTHON_VERSION_TESTCODE)"")
28 PYTHON_MAJOR_VERSION := $(shell echo $(PYTHON_VERSION) | cut -f1 -d.)
29 PYTHON_PREFIX := $(shell $(PYTHON_EXECUTABLE)-config --prefix)
30 PYTHON_DESTDIR := $(PYTHON_PREFIX)/lib/python$(PYTHON_VERSION)/site-packages
31 endif
32
33 # other configuration flags
34 ENABLE_GCOV := 0
35 ENABLE_GPROF := 0
36 ENABLE_DEBUG := 0
37 ENABLE_NDEBUG := 0
38 LINK_CURSES := 0
39 LINK_TERMCAP := 0
40 LINK_ABC := 0
41 # Needed for environments that don't have proper thread support (i.e. emscripten)
42 DISABLE_ABC_THREADS := 0
43
44 # clang sanitizers
45 SANITIZER =
46 # SANITIZER = address
47 # SANITIZER = memory
48 # SANITIZER = undefined
49 # SANITIZER = cfi
50
51
52 OS := $(shell uname -s)
53 PREFIX ?= /usr/local
54 INSTALL_SUDO :=
55
56 BINDIR := $(PREFIX)/bin
57 LIBDIR := $(PREFIX)/lib
58 DATDIR := $(PREFIX)/share/yosys
59
60 EXE =
61 OBJS =
62 GENFILES =
63 EXTRA_OBJS =
64 EXTRA_TARGETS =
65 TARGETS = yosys$(EXE) yosys-config
66
67 PRETTY = 1
68 SMALL = 0
69
70 # Unit test
71 UNITESTPATH := tests/unit
72
73 all: top-all
74
75 YOSYS_SRC := $(dir $(firstword $(MAKEFILE_LIST)))
76 VPATH := $(YOSYS_SRC)
77
78 CXXFLAGS := $(CXXFLAGS) -Wall -Wextra -ggdb -I. -I"$(YOSYS_SRC)" -MD -D_YOSYS_ -fPIC -I$(PREFIX)/include
79 LDFLAGS := $(LDFLAGS) -L$(LIBDIR)
80 LDLIBS := $(LDLIBS) -lstdc++ -lm
81 PLUGIN_LDFLAGS :=
82
83 PKG_CONFIG ?= pkg-config
84 SED ?= sed
85 BISON ?= bison
86 STRIP ?= strip
87 AWK ?= awk
88
89 ifeq ($(OS), Darwin)
90 PLUGIN_LDFLAGS += -undefined dynamic_lookup
91
92 # homebrew search paths
93 ifneq ($(shell which brew),)
94 BREW_PREFIX := $(shell brew --prefix)/opt
95 $(info $$BREW_PREFIX is [${BREW_PREFIX}])
96 CXXFLAGS += -I$(BREW_PREFIX)/boost/include/boost
97 LDFLAGS += -L$(BREW_PREFIX)/boost/lib
98 CXXFLAGS += -I$(BREW_PREFIX)/readline/include
99 LDFLAGS += -L$(BREW_PREFIX)/readline/lib
100 PKG_CONFIG_PATH := $(BREW_PREFIX)/libffi/lib/pkgconfig:$(PKG_CONFIG_PATH)
101 PKG_CONFIG_PATH := $(BREW_PREFIX)/tcl-tk/lib/pkgconfig:$(PKG_CONFIG_PATH)
102 export PATH := $(BREW_PREFIX)/bison/bin:$(BREW_PREFIX)/gettext/bin:$(BREW_PREFIX)/flex/bin:$(PATH)
103
104 # macports search paths
105 else ifneq ($(shell which port),)
106 PORT_PREFIX := $(patsubst %/bin/port,%,$(shell which port))
107 CXXFLAGS += -I$(PORT_PREFIX)/include
108 LDFLAGS += -L$(PORT_PREFIX)/lib
109 PKG_CONFIG_PATH := $(PORT_PREFIX)/lib/pkgconfig:$(PKG_CONFIG_PATH)
110 export PATH := $(PORT_PREFIX)/bin:$(PATH)
111 endif
112
113 else
114 LDFLAGS += -rdynamic
115 LDLIBS += -lrt
116 endif
117
118 YOSYS_VER := 0.8+$(shell cd $(YOSYS_SRC) && test -e .git && { git log --author=clifford@clifford.at --oneline 4d4665b.. 2> /dev/null | wc -l; })
119 GIT_REV := $(shell cd $(YOSYS_SRC) && git rev-parse --short HEAD 2> /dev/null || echo UNKNOWN)
120 OBJS = kernel/version_$(GIT_REV).o
121
122 # set 'ABCREV = default' to use abc/ as it is
123 #
124 # Note: If you do ABC development, make sure that 'abc' in this directory
125 # is just a symlink to your actual ABC working directory, as 'make mrproper'
126 # will remove the 'abc' directory and you do not want to accidentally
127 # delete your work on ABC..
128 ABCREV = 3709744
129 ABCPULL = 1
130 ABCURL ?= https://github.com/berkeley-abc/abc
131 ABCMKARGS = CC="$(CXX)" CXX="$(CXX)" ABC_USE_LIBSTDCXX=1
132
133 # set ABCEXTERNAL = <abc-command> to use an external ABC instance
134 # Note: The in-tree ABC (yosys-abc) will not be installed when ABCEXTERNAL is set.
135 ABCEXTERNAL ?=
136
137 define newline
138
139
140 endef
141
142 ifneq ($(wildcard Makefile.conf),)
143 $(info $(subst $$--$$,$(newline),$(shell sed 's,^,[Makefile.conf] ,; s,$$,$$--$$,;' < Makefile.conf | tr -d '\n' | sed 's,\$$--\$$$$,,')))
144 include Makefile.conf
145 endif
146
147 ifeq ($(CONFIG),clang)
148 CXX = clang
149 LD = clang++
150 CXXFLAGS += -std=c++11 -Os
151 ABCMKARGS += ARCHFLAGS="-DABC_USE_STDINT_H"
152
153 ifneq ($(SANITIZER),)
154 $(info [Clang Sanitizer] $(SANITIZER))
155 CXXFLAGS += -g -O1 -fno-omit-frame-pointer -fno-optimize-sibling-calls -fsanitize=$(SANITIZER)
156 LDFLAGS += -g -fsanitize=$(SANITIZER)
157 ifeq ($(SANITIZER),address)
158 ENABLE_COVER := 0
159 endif
160 ifeq ($(SANITIZER),memory)
161 CXXFLAGS += -fPIE -fsanitize-memory-track-origins
162 LDFLAGS += -fPIE -fsanitize-memory-track-origins
163 endif
164 ifeq ($(SANITIZER),cfi)
165 CXXFLAGS += -flto
166 LDFLAGS += -flto
167 endif
168 endif
169
170 else ifeq ($(CONFIG),gcc)
171 CXX = gcc
172 LD = gcc
173 CXXFLAGS += -std=c++11 -Os
174 ABCMKARGS += ARCHFLAGS="-DABC_USE_STDINT_H"
175
176 else ifeq ($(CONFIG),gcc-static)
177 LD = $(CXX)
178 LDFLAGS := $(filter-out -rdynamic,$(LDFLAGS)) -static
179 LDLIBS := $(filter-out -lrt,$(LDLIBS))
180 CXXFLAGS := $(filter-out -fPIC,$(CXXFLAGS))
181 CXXFLAGS += -std=c++11 -Os
182 ABCMKARGS = CC="$(CC)" CXX="$(CXX)" LD="$(LD)" ABC_USE_LIBSTDCXX=1 LIBS="-lm -lpthread -static" OPTFLAGS="-O" \
183 ARCHFLAGS="-DABC_USE_STDINT_H -DABC_NO_DYNAMIC_LINKING=1 -Wno-unused-but-set-variable $(ARCHFLAGS)" ABC_USE_NO_READLINE=1
184 ifeq ($(DISABLE_ABC_THREADS),1)
185 ABCMKARGS += "ABC_USE_NO_PTHREADS=1"
186 endif
187
188 else ifeq ($(CONFIG),gcc-4.8)
189 CXX = gcc-4.8
190 LD = gcc-4.8
191 CXXFLAGS += -std=c++11 -Os
192 ABCMKARGS += ARCHFLAGS="-DABC_USE_STDINT_H"
193
194 else ifeq ($(CONFIG),cygwin)
195 CXX = gcc
196 LD = gcc
197 CXXFLAGS += -std=gnu++11 -Os
198 ABCMKARGS += ARCHFLAGS="-DABC_USE_STDINT_H"
199
200 else ifeq ($(CONFIG),emcc)
201 CXX = emcc
202 LD = emcc
203 CXXFLAGS := -std=c++11 $(filter-out -fPIC -ggdb,$(CXXFLAGS))
204 ABCMKARGS += ARCHFLAGS="-DABC_USE_STDINT_H -DABC_MEMALIGN=8"
205 EMCCFLAGS := -Os -Wno-warn-absolute-paths
206 EMCCFLAGS += --memory-init-file 0 --embed-file share -s NO_EXIT_RUNTIME=1
207 EMCCFLAGS += -s EXPORTED_FUNCTIONS="['_main','_run','_prompt','_errmsg']"
208 EMCCFLAGS += -s TOTAL_MEMORY=128*1024*1024
209 # https://github.com/kripken/emscripten/blob/master/src/settings.js
210 CXXFLAGS += $(EMCCFLAGS)
211 LDFLAGS += $(EMCCFLAGS)
212 LDLIBS =
213 EXE = .js
214
215 TARGETS := $(filter-out yosys-config,$(TARGETS))
216 EXTRA_TARGETS += yosysjs-$(YOSYS_VER).zip
217
218 ifeq ($(ENABLE_ABC),1)
219 LINK_ABC := 1
220 DISABLE_ABC_THREADS := 1
221 endif
222
223 viz.js:
224 wget -O viz.js.part https://github.com/mdaines/viz.js/releases/download/0.0.3/viz.js
225 mv viz.js.part viz.js
226
227 yosysjs-$(YOSYS_VER).zip: yosys.js viz.js misc/yosysjs/*
228 rm -rf yosysjs-$(YOSYS_VER) yosysjs-$(YOSYS_VER).zip
229 mkdir -p yosysjs-$(YOSYS_VER)
230 cp viz.js misc/yosysjs/* yosys.js yosysjs-$(YOSYS_VER)/
231 zip -r yosysjs-$(YOSYS_VER).zip yosysjs-$(YOSYS_VER)
232
233 yosys.html: misc/yosys.html
234 $(P) cp misc/yosys.html yosys.html
235
236 else ifeq ($(CONFIG),mxe)
237 PKG_CONFIG = /usr/local/src/mxe/usr/bin/i686-w64-mingw32.static-pkg-config
238 CXX = /usr/local/src/mxe/usr/bin/i686-w64-mingw32.static-g++
239 LD = /usr/local/src/mxe/usr/bin/i686-w64-mingw32.static-g++
240 CXXFLAGS += -std=c++11 -Os -D_POSIX_SOURCE -DYOSYS_MXE_HACKS -Wno-attributes
241 CXXFLAGS := $(filter-out -fPIC,$(CXXFLAGS))
242 LDFLAGS := $(filter-out -rdynamic,$(LDFLAGS)) -s
243 LDLIBS := $(filter-out -lrt,$(LDLIBS))
244 ABCMKARGS += ARCHFLAGS="-DWIN32_NO_DLL -DHAVE_STRUCT_TIMESPEC -fpermissive -w"
245 ABCMKARGS += LIBS="lib/x86/pthreadVC2.lib -s" ABC_USE_NO_READLINE=1 CC="/usr/local/src/mxe/usr/bin/i686-w64-mingw32.static-gcc"
246 EXE = .exe
247
248 else ifeq ($(CONFIG),msys2)
249 CXX = i686-w64-mingw32-g++
250 LD = i686-w64-mingw32-g++
251 CXXFLAGS += -std=c++11 -Os -D_POSIX_SOURCE -DYOSYS_WIN32_UNIX_DIR
252 CXXFLAGS := $(filter-out -fPIC,$(CXXFLAGS))
253 LDFLAGS := $(filter-out -rdynamic,$(LDFLAGS)) -s
254 LDLIBS := $(filter-out -lrt,$(LDLIBS))
255 ABCMKARGS += ARCHFLAGS="-DABC_USE_STDINT_H -DWIN32_NO_DLL -DHAVE_STRUCT_TIMESPEC -fpermissive -w"
256 ABCMKARGS += LIBS="-lpthread -s" ABC_USE_NO_READLINE=0 CC="i686-w64-mingw32-gcc" CXX="$(CXX)"
257 EXE = .exe
258
259 else ifeq ($(CONFIG),msys2-64)
260 CXX = x86_64-w64-mingw32-g++
261 LD = x86_64-w64-mingw32-g++
262 CXXFLAGS += -std=c++11 -Os -D_POSIX_SOURCE -DYOSYS_WIN32_UNIX_DIR
263 CXXFLAGS := $(filter-out -fPIC,$(CXXFLAGS))
264 LDFLAGS := $(filter-out -rdynamic,$(LDFLAGS)) -s
265 LDLIBS := $(filter-out -lrt,$(LDLIBS))
266 ABCMKARGS += ARCHFLAGS="-DABC_USE_STDINT_H -DWIN32_NO_DLL -DHAVE_STRUCT_TIMESPEC -fpermissive -w"
267 ABCMKARGS += LIBS="-lpthread -s" ABC_USE_NO_READLINE=0 CC="x86_64-w64-mingw32-gcc" CXX="$(CXX)"
268 EXE = .exe
269
270 else ifneq ($(CONFIG),none)
271 $(error Invalid CONFIG setting '$(CONFIG)'. Valid values: clang, gcc, gcc-4.8, emcc, mxe, msys2, msys2-64)
272 endif
273
274 ifeq ($(ENABLE_LIBYOSYS),1)
275 TARGETS += libyosys.so
276 endif
277
278 ifeq ($(ENABLE_PYOSYS),1)
279
280 #Detect name of boost_python library. Some distros usbe boost_python-py<version>, other boost_python<version>, some only use the major version number, some a concatenation of major and minor version numbers
281 ifeq ($(OS), Darwin)
282 BOOST_PYTHON_LIB ?= $(shell \
283 if echo "int main(int argc, char ** argv) {return 0;}" | $(CXX) -xc -o /dev/null $(shell $(PYTHON_EXECUTABLE)-config --ldflags) -lboost_python-py$(subst .,,$(PYTHON_VERSION)) - > /dev/null 2>&1; then echo "-lboost_python-py$(subst .,,$(PYTHON_VERSION))"; else \
284 if echo "int main(int argc, char ** argv) {return 0;}" | $(CXX) -xc -o /dev/null $(shell $(PYTHON_EXECUTABLE)-config --ldflags) -lboost_python-py$(subst .,,$(PYTHON_MAJOR_VERSION)) - > /dev/null 2>&1; then echo "-lboost_python-py$(subst .,,$(PYTHON_MAJOR_VERSION))"; else \
285 if echo "int main(int argc, char ** argv) {return 0;}" | $(CXX) -xc -o /dev/null $(shell $(PYTHON_EXECUTABLE)-config --ldflags) -lboost_python$(subst .,,$(PYTHON_VERSION)) - > /dev/null 2>&1; then echo "-lboost_python$(subst .,,$(PYTHON_VERSION))"; else \
286 if echo "int main(int argc, char ** argv) {return 0;}" | $(CXX) -xc -o /dev/null $(shell $(PYTHON_EXECUTABLE)-config --ldflags) -lboost_python$(subst .,,$(PYTHON_MAJOR_VERSION)) - > /dev/null 2>&1; then echo "-lboost_python$(subst .,,$(PYTHON_MAJOR_VERSION))"; else \
287 echo ""; fi; fi; fi; fi;)
288 else
289 BOOST_PYTHON_LIB ?= $(shell \
290 if echo "int main(int argc, char ** argv) {return 0;}" | $(CXX) -xc -o /dev/null `$(PYTHON_EXECUTABLE)-config --libs` -lboost_python-py$(subst .,,$(PYTHON_VERSION)) - > /dev/null 2>&1; then echo "-lboost_python-py$(subst .,,$(PYTHON_VERSION))"; else \
291 if echo "int main(int argc, char ** argv) {return 0;}" | $(CXX) -xc -o /dev/null `$(PYTHON_EXECUTABLE)-config --libs` -lboost_python-py$(subst .,,$(PYTHON_MAJOR_VERSION)) - > /dev/null 2>&1; then echo "-lboost_python-py$(subst .,,$(PYTHON_MAJOR_VERSION))"; else \
292 if echo "int main(int argc, char ** argv) {return 0;}" | $(CXX) -xc -o /dev/null `$(PYTHON_EXECUTABLE)-config --libs` -lboost_python$(subst .,,$(PYTHON_VERSION)) - > /dev/null 2>&1; then echo "-lboost_python$(subst .,,$(PYTHON_VERSION))"; else \
293 if echo "int main(int argc, char ** argv) {return 0;}" | $(CXX) -xc -o /dev/null `$(PYTHON_EXECUTABLE)-config --libs` -lboost_python$(subst .,,$(PYTHON_MAJOR_VERSION)) - > /dev/null 2>&1; then echo "-lboost_python$(subst .,,$(PYTHON_MAJOR_VERSION))"; else \
294 echo ""; fi; fi; fi; fi;)
295 endif
296
297 ifeq ($(BOOST_PYTHON_LIB),)
298 $(error BOOST_PYTHON_LIB could not be detected. Please define manualy)
299 endif
300
301 ifeq ($(OS), Darwin)
302 ifeq ($(PYTHON_MAJOR_VERSION),3)
303 LDLIBS += $(shell $(PYTHON_EXECUTABLE)-config --ldflags) $(BOOST_PYTHON_LIB) -lboost_system -lboost_filesystem
304 CXXFLAGS += $(shell $(PYTHON_EXECUTABLE)-config --includes) -DWITH_PYTHON
305 else
306 LDLIBS += $(shell $(PYTHON_EXECUTABLE)-config --ldflags) $(BOOST_PYTHON_LIB) -lboost_system -lboost_filesystem
307 CXXFLAGS += $(shell $(PYTHON_EXECUTABLE)-config --includes) -DWITH_PYTHON
308 endif
309 else
310 ifeq ($(PYTHON_MAJOR_VERSION),3)
311 LDLIBS += $(shell $(PYTHON_EXECUTABLE)-config --libs) $(BOOST_PYTHON_LIB) -lboost_system -lboost_filesystem
312 CXXFLAGS += $(shell $(PYTHON_EXECUTABLE)-config --includes) -DWITH_PYTHON
313 else
314 LDLIBS += $(shell $(PYTHON_EXECUTABLE)-config --libs) $(BOOST_PYTHON_LIB) -lboost_system -lboost_filesystem
315 CXXFLAGS += $(shell $(PYTHON_EXECUTABLE)-config --includes) -DWITH_PYTHON
316 endif
317 endif
318
319 ifeq ($(ENABLE_PYOSYS),1)
320 PY_WRAPPER_FILE = kernel/python_wrappers
321 OBJS += $(PY_WRAPPER_FILE).o
322 PY_GEN_SCRIPT= py_wrap_generator
323 PY_WRAP_INCLUDES := $(shell python$(PYTHON_VERSION) -c "from misc import $(PY_GEN_SCRIPT); $(PY_GEN_SCRIPT).print_includes()")
324 endif
325 endif
326
327 ifeq ($(ENABLE_READLINE),1)
328 CXXFLAGS += -DYOSYS_ENABLE_READLINE
329 ifeq ($(OS), FreeBSD)
330 CXXFLAGS += -I/usr/local/include
331 endif
332 LDLIBS += -lreadline
333 ifeq ($(LINK_CURSES),1)
334 LDLIBS += -lcurses
335 ABCMKARGS += "ABC_READLINE_LIBRARIES=-lcurses -lreadline"
336 endif
337 ifeq ($(LINK_TERMCAP),1)
338 LDLIBS += -ltermcap
339 ABCMKARGS += "ABC_READLINE_LIBRARIES=-lreadline -ltermcap"
340 endif
341 ifeq ($(CONFIG),mxe)
342 LDLIBS += -ltermcap
343 endif
344 else
345 ifeq ($(ENABLE_EDITLINE),1)
346 CXXFLAGS += -DYOSYS_ENABLE_EDITLINE
347 LDLIBS += -ledit -ltinfo -lbsd
348 else
349 ABCMKARGS += "ABC_USE_NO_READLINE=1"
350 endif
351 endif
352
353 ifeq ($(DISABLE_ABC_THREADS),1)
354 ABCMKARGS += "ABC_USE_NO_PTHREADS=1"
355 endif
356
357 ifeq ($(ENABLE_PLUGINS),1)
358 CXXFLAGS += $(shell PKG_CONFIG_PATH=$(PKG_CONFIG_PATH) $(PKG_CONFIG) --silence-errors --cflags libffi) -DYOSYS_ENABLE_PLUGINS
359 LDLIBS += $(shell PKG_CONFIG_PATH=$(PKG_CONFIG_PATH) $(PKG_CONFIG) --silence-errors --libs libffi || echo -lffi)
360 ifneq ($(OS), FreeBSD)
361 LDLIBS += -ldl
362 endif
363 endif
364
365 ifeq ($(ENABLE_GLOB),1)
366 CXXFLAGS += -DYOSYS_ENABLE_GLOB
367 endif
368
369 ifeq ($(ENABLE_TCL),1)
370 TCL_VERSION ?= tcl$(shell bash -c "tclsh <(echo 'puts [info tclversion]')")
371 ifeq ($(OS), FreeBSD)
372 TCL_INCLUDE ?= /usr/local/include/$(TCL_VERSION)
373 else
374 TCL_INCLUDE ?= /usr/include/$(TCL_VERSION)
375 endif
376
377 ifeq ($(CONFIG),mxe)
378 CXXFLAGS += -DYOSYS_ENABLE_TCL
379 LDLIBS += -ltcl86 -lwsock32 -lws2_32 -lnetapi32 -lz
380 else
381 CXXFLAGS += $(shell PKG_CONFIG_PATH=$(PKG_CONFIG_PATH) $(PKG_CONFIG) --silence-errors --cflags tcl || echo -I$(TCL_INCLUDE)) -DYOSYS_ENABLE_TCL
382 ifeq ($(OS), FreeBSD)
383 # FreeBSD uses tcl8.6, but lib is named "libtcl86"
384 LDLIBS += $(shell PKG_CONFIG_PATH=$(PKG_CONFIG_PATH) $(PKG_CONFIG) --silence-errors --libs tcl || echo -l$(TCL_VERSION) | tr -d '.')
385 else
386 LDLIBS += $(shell PKG_CONFIG_PATH=$(PKG_CONFIG_PATH) $(PKG_CONFIG) --silence-errors --libs tcl || echo -l$(TCL_VERSION))
387 endif
388 endif
389 endif
390
391 ifeq ($(ENABLE_GCOV),1)
392 CXXFLAGS += --coverage
393 LDFLAGS += --coverage
394 endif
395
396 ifeq ($(ENABLE_GPROF),1)
397 CXXFLAGS += -pg
398 LDFLAGS += -pg
399 endif
400
401 ifeq ($(ENABLE_NDEBUG),1)
402 CXXFLAGS := -O3 -DNDEBUG $(filter-out -Os -ggdb,$(CXXFLAGS))
403 endif
404
405 ifeq ($(ENABLE_DEBUG),1)
406 ifeq ($(CONFIG),clang)
407 CXXFLAGS := -O0 -DDEBUG $(filter-out -Os,$(CXXFLAGS))
408 else
409 CXXFLAGS := -Og -DDEBUG $(filter-out -Os,$(CXXFLAGS))
410 endif
411 endif
412
413 ifeq ($(ENABLE_ABC),1)
414 CXXFLAGS += -DYOSYS_ENABLE_ABC
415 ifeq ($(LINK_ABC),1)
416 CXXFLAGS += -DYOSYS_LINK_ABC
417 ifeq ($(DISABLE_ABC_THREADS),0)
418 LDLIBS += -lpthread
419 endif
420 else
421 ifeq ($(ABCEXTERNAL),)
422 TARGETS += yosys-abc$(EXE)
423 endif
424 endif
425 endif
426
427 ifeq ($(ENABLE_VERIFIC),1)
428 VERIFIC_DIR ?= /usr/local/src/verific_lib
429 VERIFIC_COMPONENTS ?= verilog vhdl database util containers hier_tree
430 CXXFLAGS += $(patsubst %,-I$(VERIFIC_DIR)/%,$(VERIFIC_COMPONENTS)) -DYOSYS_ENABLE_VERIFIC
431 ifeq ($(OS), Darwin)
432 LDLIBS += $(patsubst %,$(VERIFIC_DIR)/%/*-mac.a,$(VERIFIC_COMPONENTS)) -lz
433 else
434 LDLIBS += $(patsubst %,$(VERIFIC_DIR)/%/*-linux.a,$(VERIFIC_COMPONENTS)) -lz
435 endif
436 endif
437
438 ifeq ($(ENABLE_PROTOBUF),1)
439 LDLIBS += $(shell pkg-config --cflags --libs protobuf)
440 endif
441
442 ifeq ($(ENABLE_COVER),1)
443 CXXFLAGS += -DYOSYS_ENABLE_COVER
444 endif
445
446 define add_share_file
447 EXTRA_TARGETS += $(subst //,/,$(1)/$(notdir $(2)))
448 $(subst //,/,$(1)/$(notdir $(2))): $(2)
449 $$(P) mkdir -p $(1)
450 $$(Q) cp "$(YOSYS_SRC)"/$(2) $(subst //,/,$(1)/$(notdir $(2)))
451 endef
452
453 define add_gen_share_file
454 EXTRA_TARGETS += $(subst //,/,$(1)/$(notdir $(2)))
455 $(subst //,/,$(1)/$(notdir $(2))): $(2)
456 $$(P) mkdir -p $(1)
457 $$(Q) cp $(2) $(subst //,/,$(1)/$(notdir $(2)))
458 endef
459
460 define add_include_file
461 $(eval $(call add_share_file,$(dir share/include/$(1)),$(1)))
462 endef
463
464 ifeq ($(PRETTY), 1)
465 P_STATUS = 0
466 P_OFFSET = 0
467 P_UPDATE = $(eval P_STATUS=$(shell echo $(OBJS) yosys$(EXE) | $(AWK) 'BEGIN { RS = " "; I = $(P_STATUS)+0; } $$1 == "$@" && NR > I { I = NR; } END { print I; }'))
468 P_SHOW = [$(shell $(AWK) "BEGIN { N=$(words $(OBJS) yosys$(EXE)); printf \"%3d\", $(P_OFFSET)+90*$(P_STATUS)/N; exit; }")%]
469 P = @echo "$(if $(findstring $@,$(TARGETS) $(EXTRA_TARGETS)),$(eval P_OFFSET = 10))$(call P_UPDATE)$(call P_SHOW) Building $@";
470 Q = @
471 S = -s
472 else
473 P_SHOW = ->
474 P =
475 Q =
476 S =
477 endif
478
479 $(eval $(call add_include_file,kernel/yosys.h))
480 $(eval $(call add_include_file,kernel/hashlib.h))
481 $(eval $(call add_include_file,kernel/log.h))
482 $(eval $(call add_include_file,kernel/rtlil.h))
483 $(eval $(call add_include_file,kernel/register.h))
484 $(eval $(call add_include_file,kernel/celltypes.h))
485 $(eval $(call add_include_file,kernel/celledges.h))
486 $(eval $(call add_include_file,kernel/consteval.h))
487 $(eval $(call add_include_file,kernel/sigtools.h))
488 $(eval $(call add_include_file,kernel/modtools.h))
489 $(eval $(call add_include_file,kernel/macc.h))
490 $(eval $(call add_include_file,kernel/utils.h))
491 $(eval $(call add_include_file,kernel/satgen.h))
492 $(eval $(call add_include_file,libs/ezsat/ezsat.h))
493 $(eval $(call add_include_file,libs/ezsat/ezminisat.h))
494 $(eval $(call add_include_file,libs/sha1/sha1.h))
495 $(eval $(call add_include_file,passes/fsm/fsmdata.h))
496 $(eval $(call add_include_file,frontends/ast/ast.h))
497 $(eval $(call add_include_file,backends/ilang/ilang_backend.h))
498
499 OBJS += kernel/driver.o kernel/register.o kernel/rtlil.o kernel/log.o kernel/calc.o kernel/yosys.o
500 OBJS += kernel/cellaigs.o kernel/celledges.o
501
502 kernel/log.o: CXXFLAGS += -DYOSYS_SRC='"$(YOSYS_SRC)"'
503 kernel/yosys.o: CXXFLAGS += -DYOSYS_DATDIR='"$(DATDIR)"'
504
505 OBJS += libs/bigint/BigIntegerAlgorithms.o libs/bigint/BigInteger.o libs/bigint/BigIntegerUtils.o
506 OBJS += libs/bigint/BigUnsigned.o libs/bigint/BigUnsignedInABase.o
507
508 OBJS += libs/sha1/sha1.o
509
510 ifneq ($(SMALL),1)
511
512 OBJS += libs/subcircuit/subcircuit.o
513
514 OBJS += libs/ezsat/ezsat.o
515 OBJS += libs/ezsat/ezminisat.o
516
517 OBJS += libs/minisat/Options.o
518 OBJS += libs/minisat/SimpSolver.o
519 OBJS += libs/minisat/Solver.o
520 OBJS += libs/minisat/System.o
521
522 include $(YOSYS_SRC)/frontends/*/Makefile.inc
523 include $(YOSYS_SRC)/passes/*/Makefile.inc
524 include $(YOSYS_SRC)/backends/*/Makefile.inc
525 include $(YOSYS_SRC)/techlibs/*/Makefile.inc
526
527 else
528
529 include frontends/verilog/Makefile.inc
530 include frontends/ilang/Makefile.inc
531 include frontends/ast/Makefile.inc
532 include frontends/blif/Makefile.inc
533
534 OBJS += passes/hierarchy/hierarchy.o
535 OBJS += passes/cmds/select.o
536 OBJS += passes/cmds/show.o
537 OBJS += passes/cmds/stat.o
538 OBJS += passes/cmds/cover.o
539 OBJS += passes/cmds/design.o
540 OBJS += passes/cmds/plugin.o
541
542 include passes/proc/Makefile.inc
543 include passes/opt/Makefile.inc
544 include passes/techmap/Makefile.inc
545
546 include backends/verilog/Makefile.inc
547 include backends/ilang/Makefile.inc
548
549 include techlibs/common/Makefile.inc
550
551 endif
552
553 ifeq ($(LINK_ABC),1)
554 OBJS += yosys-libabc.a
555 endif
556
557 top-all: $(TARGETS) $(EXTRA_TARGETS)
558 @echo ""
559 @echo " Build successful."
560 @echo ""
561
562 ifeq ($(CONFIG),emcc)
563 yosys.js: $(filter-out yosysjs-$(YOSYS_VER).zip,$(EXTRA_TARGETS))
564 endif
565
566 yosys$(EXE): $(OBJS)
567 $(P) $(LD) -o yosys$(EXE) $(LDFLAGS) $(OBJS) $(LDLIBS)
568
569 libyosys.so: $(filter-out kernel/driver.o,$(OBJS))
570 ifeq ($(OS), Darwin)
571 $(P) $(LD) -o libyosys.so -shared -Wl,-install_name,libyosys.so $(LDFLAGS) $^ $(LDLIBS)
572 else
573 $(P) $(LD) -o libyosys.so -shared -Wl,-soname,libyosys.so $(LDFLAGS) $^ $(LDLIBS)
574 endif
575
576 %.o: %.cc
577 $(Q) mkdir -p $(dir $@)
578 $(P) $(CXX) -o $@ -c $(CPPFLAGS) $(CXXFLAGS) $<
579
580 %.pyh: %.h
581 $(Q) mkdir -p $(dir $@)
582 $(P) cat $< | grep -E -v "#[ ]*(include|error)" | $(LD) -x c++ -o $@ -E -P -
583
584 ifeq ($(ENABLE_PYOSYS),1)
585 $(PY_WRAPPER_FILE).cc: misc/$(PY_GEN_SCRIPT).py $(PY_WRAP_INCLUDES)
586 $(Q) mkdir -p $(dir $@)
587 $(P) python$(PYTHON_VERSION) -c "from misc import $(PY_GEN_SCRIPT); $(PY_GEN_SCRIPT).gen_wrappers(\"$(PY_WRAPPER_FILE).cc\")"
588 endif
589
590 %.o: %.cpp
591 $(Q) mkdir -p $(dir $@)
592 $(P) $(CXX) -o $@ -c $(CPPFLAGS) $(CXXFLAGS) $<
593
594 YOSYS_VER_STR := Yosys $(YOSYS_VER) (git sha1 $(GIT_REV), $(notdir $(CXX)) $(shell \
595 $(CXX) --version | tr ' ()' '\n' | grep '^[0-9]' | head -n1) $(filter -f% -m% -O% -DNDEBUG,$(CXXFLAGS)))
596
597 kernel/version_$(GIT_REV).cc: $(YOSYS_SRC)/Makefile
598 $(P) rm -f kernel/version_*.o kernel/version_*.d kernel/version_*.cc
599 $(Q) mkdir -p kernel && echo "namespace Yosys { extern const char *yosys_version_str; const char *yosys_version_str=\"$(YOSYS_VER_STR)\"; }" > kernel/version_$(GIT_REV).cc
600
601 ifeq ($(ENABLE_VERIFIC),1)
602 CXXFLAGS_NOVERIFIC = $(foreach v,$(CXXFLAGS),$(if $(findstring $(VERIFIC_DIR),$(v)),,$(v)))
603 LDLIBS_NOVERIFIC = $(foreach v,$(LDLIBS),$(if $(findstring $(VERIFIC_DIR),$(v)),,$(v)))
604 else
605 CXXFLAGS_NOVERIFIC = $(CXXFLAGS)
606 LDLIBS_NOVERIFIC = $(LDLIBS)
607 endif
608
609 yosys-config: misc/yosys-config.in
610 $(P) $(SED) -e 's#@CXXFLAGS@#$(subst -I. -I"$(YOSYS_SRC)",-I"$(DATDIR)/include",$(strip $(CXXFLAGS_NOVERIFIC)))#;' \
611 -e 's#@CXX@#$(strip $(CXX))#;' -e 's#@LDFLAGS@#$(strip $(LDFLAGS) $(PLUGIN_LDFLAGS))#;' -e 's#@LDLIBS@#$(strip $(LDLIBS_NOVERIFIC))#;' \
612 -e 's#@BINDIR@#$(strip $(BINDIR))#;' -e 's#@DATDIR@#$(strip $(DATDIR))#;' < $< > yosys-config
613 $(Q) chmod +x yosys-config
614
615 abc/abc-$(ABCREV)$(EXE) abc/libabc-$(ABCREV).a:
616 $(P)
617 ifneq ($(ABCREV),default)
618 $(Q) if test -d abc/.hg; then \
619 echo 'REEBE: NOP qverpgbel vf n ut jbexvat pbcl! Erzbir nop/ naq er-eha "znxr".' | tr 'A-Za-z' 'N-ZA-Mn-za-m'; false; \
620 fi
621 $(Q) if ( cd abc 2> /dev/null && ! git diff-index --quiet HEAD; ); then \
622 echo 'REEBE: NOP pbagnvaf ybpny zbqvsvpngvbaf! Frg NOPERI=qrsnhyg va Lbflf Znxrsvyr!' | tr 'A-Za-z' 'N-ZA-Mn-za-m'; false; \
623 fi
624 $(Q) if test "`cd abc 2> /dev/null && git rev-parse --short HEAD`" != "$(ABCREV)"; then \
625 test $(ABCPULL) -ne 0 || { echo 'REEBE: NOP abg hc gb qngr naq NOPCHYY frg gb 0 va Znxrsvyr!' | tr 'A-Za-z' 'N-ZA-Mn-za-m'; exit 1; }; \
626 echo "Pulling ABC from $(ABCURL):"; set -x; \
627 test -d abc || git clone $(ABCURL) abc; \
628 cd abc && $(MAKE) DEP= clean && git fetch origin master && git checkout $(ABCREV); \
629 fi
630 endif
631 $(Q) rm -f abc/abc-[0-9a-f]*
632 $(Q) cd abc && $(MAKE) $(S) $(ABCMKARGS) $(if $(filter %.a,$@),PROG="abc-$(ABCREV)",PROG="abc-$(ABCREV)$(EXE)") MSG_PREFIX="$(eval P_OFFSET = 5)$(call P_SHOW)$(eval P_OFFSET = 10) ABC: " $(if $(filter %.a,$@),libabc-$(ABCREV).a)
633
634 ifeq ($(ABCREV),default)
635 .PHONY: abc/abc-$(ABCREV)$(EXE)
636 .PHONY: abc/libabc-$(ABCREV).a
637 endif
638
639 yosys-abc$(EXE): abc/abc-$(ABCREV)$(EXE)
640 $(P) cp abc/abc-$(ABCREV)$(EXE) yosys-abc$(EXE)
641
642 yosys-libabc.a: abc/libabc-$(ABCREV).a
643 $(P) cp abc/libabc-$(ABCREV).a yosys-libabc.a
644
645 ifneq ($(SEED),)
646 SEEDOPT="-S $(SEED)"
647 else
648 SEEDOPT=""
649 endif
650
651 test: $(TARGETS) $(EXTRA_TARGETS)
652 +cd tests/simple && bash run-test.sh $(SEEDOPT)
653 +cd tests/hana && bash run-test.sh $(SEEDOPT)
654 +cd tests/asicworld && bash run-test.sh $(SEEDOPT)
655 # +cd tests/realmath && bash run-test.sh $(SEEDOPT)
656 +cd tests/share && bash run-test.sh $(SEEDOPT)
657 +cd tests/fsm && bash run-test.sh $(SEEDOPT)
658 +cd tests/techmap && bash run-test.sh
659 +cd tests/memories && bash run-test.sh $(SEEDOPT)
660 +cd tests/bram && bash run-test.sh $(SEEDOPT)
661 +cd tests/various && bash run-test.sh
662 +cd tests/sat && bash run-test.sh
663 +cd tests/svinterfaces && bash run-test.sh $(SEEDOPT)
664 +cd tests/opt && bash run-test.sh
665 +cd tests/aiger && bash run-test.sh
666 @echo ""
667 @echo " Passed \"make test\"."
668 @echo ""
669
670 VALGRIND ?= valgrind --error-exitcode=1 --leak-check=full --show-reachable=yes --errors-for-leak-kinds=all
671
672 vgtest: $(TARGETS) $(EXTRA_TARGETS)
673 $(VALGRIND) ./yosys -p 'setattr -mod -unset top; synth' $$( ls tests/simple/*.v | grep -v repwhile.v )
674 @echo ""
675 @echo " Passed \"make vgtest\"."
676 @echo ""
677
678 vloghtb: $(TARGETS) $(EXTRA_TARGETS)
679 +cd tests/vloghtb && bash run-test.sh
680 @echo ""
681 @echo " Passed \"make vloghtb\"."
682 @echo ""
683
684 ystests: $(TARGETS) $(EXTRA_TARGETS)
685 rm -rf tests/ystests
686 git clone https://github.com/YosysHQ/yosys-tests.git tests/ystests
687 +$(MAKE) PATH="$$PWD:$$PATH" -C tests/ystests
688 @echo ""
689 @echo " Finished \"make ystests\"."
690 @echo ""
691
692 # Unit test
693 unit-test: libyosys.so
694 @$(MAKE) -C $(UNITESTPATH) CXX="$(CXX)" CPPFLAGS="$(CPPFLAGS)" \
695 CXXFLAGS="$(CXXFLAGS)" LDLIBS="$(LDLIBS)" ROOTPATH="$(CURDIR)"
696
697 clean-unit-test:
698 @$(MAKE) -C $(UNITESTPATH) clean
699
700 install: $(TARGETS) $(EXTRA_TARGETS)
701 $(INSTALL_SUDO) mkdir -p $(DESTDIR)$(BINDIR)
702 $(INSTALL_SUDO) cp $(TARGETS) $(DESTDIR)$(BINDIR)
703 ifneq ($(filter yosys,$(TARGETS)),)
704 $(INSTALL_SUDO) $(STRIP) -S $(DESTDIR)$(BINDIR)/yosys
705 endif
706 ifneq ($(filter yosys-abc,$(TARGETS)),)
707 $(INSTALL_SUDO) $(STRIP) $(DESTDIR)$(BINDIR)/yosys-abc
708 endif
709 ifneq ($(filter yosys-filterlib,$(TARGETS)),)
710 $(INSTALL_SUDO) $(STRIP) $(DESTDIR)$(BINDIR)/yosys-filterlib
711 endif
712 $(INSTALL_SUDO) mkdir -p $(DESTDIR)$(DATDIR)
713 $(INSTALL_SUDO) cp -r share/. $(DESTDIR)$(DATDIR)/.
714 ifeq ($(ENABLE_LIBYOSYS),1)
715 $(INSTALL_SUDO) mkdir -p $(DESTDIR)$(LIBDIR)
716 $(INSTALL_SUDO) cp libyosys.so $(DESTDIR)$(LIBDIR)/
717 $(INSTALL_SUDO) $(STRIP) -S $(DESTDIR)$(LIBDIR)/libyosys.so
718 ifeq ($(ENABLE_PYOSYS),1)
719 $(INSTALL_SUDO) mkdir -p $(PYTHON_DESTDIR)/pyosys
720 $(INSTALL_SUDO) cp libyosys.so $(PYTHON_DESTDIR)/pyosys/
721 $(INSTALL_SUDO) cp misc/__init__.py $(PYTHON_DESTDIR)/pyosys/
722 endif
723 endif
724
725 uninstall:
726 $(INSTALL_SUDO) rm -vf $(addprefix $(DESTDIR)$(BINDIR)/,$(notdir $(TARGETS)))
727 $(INSTALL_SUDO) rm -rvf $(DESTDIR)$(DATDIR)
728 ifeq ($(ENABLE_LIBYOSYS),1)
729 $(INSTALL_SUDO) rm -vf $(DESTDIR)$(LIBDIR)/libyosys.so
730 ifeq ($(ENABLE_PYOSYS),1)
731 $(INSTALL_SUDO) rm -vf $(PYTHON_DESTDIR)/pyosys/libyosys.so
732 $(INSTALL_SUDO) rm -vf $(PYTHON_DESTDIR)/pyosys/__init__.py
733 $(INSTALL_SUDO) rmdir $(PYTHON_DESTDIR)/pyosys
734 endif
735 endif
736
737 update-manual: $(TARGETS) $(EXTRA_TARGETS)
738 cd manual && ../yosys -p 'help -write-tex-command-reference-manual'
739
740 manual: $(TARGETS) $(EXTRA_TARGETS)
741 cd manual && bash appnotes.sh
742 cd manual && bash presentation.sh
743 cd manual && bash manual.sh
744
745 clean:
746 rm -rf share
747 rm -rf kernel/*.pyh
748 if test -d manual; then cd manual && sh clean.sh; fi
749 rm -f $(OBJS) $(GENFILES) $(TARGETS) $(EXTRA_TARGETS) $(EXTRA_OBJS) $(PY_WRAP_INCLUDES) $(PY_WRAPPER_FILE).cc
750 rm -f kernel/version_*.o kernel/version_*.cc abc/abc-[0-9a-f]* abc/libabc-[0-9a-f]*.a
751 rm -f libs/*/*.d frontends/*/*.d passes/*/*.d backends/*/*.d kernel/*.d techlibs/*/*.d
752 rm -rf tests/asicworld/*.out tests/asicworld/*.log
753 rm -rf tests/hana/*.out tests/hana/*.log
754 rm -rf tests/simple/*.out tests/simple/*.log
755 rm -rf tests/memories/*.out tests/memories/*.log tests/memories/*.dmp
756 rm -rf tests/sat/*.log tests/techmap/*.log tests/various/*.log
757 rm -rf tests/bram/temp tests/fsm/temp tests/realmath/temp tests/share/temp tests/smv/temp
758 rm -rf vloghtb/Makefile vloghtb/refdat vloghtb/rtl vloghtb/scripts vloghtb/spec vloghtb/check_yosys vloghtb/vloghammer_tb.tar.bz2 vloghtb/temp vloghtb/log_test_*
759 rm -f tests/svinterfaces/*.log_stdout tests/svinterfaces/*.log_stderr tests/svinterfaces/dut_result.txt tests/svinterfaces/reference_result.txt tests/svinterfaces/a.out tests/svinterfaces/*_syn.v tests/svinterfaces/*.diff
760 rm -f tests/tools/cmp_tbdata
761
762 clean-abc:
763 $(MAKE) -C abc DEP= clean
764 rm -f yosys-abc$(EXE) yosys-libabc.a abc/abc-[0-9a-f]* abc/libabc-[0-9a-f]*.a
765
766 mrproper: clean
767 git clean -xdf
768
769 coverage:
770 ./yosys -qp 'help; help -all'
771 rm -rf coverage.info coverage_html
772 lcov --capture -d . --no-external -o coverage.info
773 genhtml coverage.info --output-directory coverage_html
774
775 qtcreator:
776 { for file in $(basename $(OBJS)); do \
777 for prefix in cc y l; do if [ -f $${file}.$${prefix} ]; then echo $$file.$${prefix}; fi; done \
778 done; find backends frontends kernel libs passes -type f \( -name '*.h' -o -name '*.hh' \); } > qtcreator.files
779 { echo .; find backends frontends kernel libs passes -type f \( -name '*.h' -o -name '*.hh' \) -printf '%h\n' | sort -u; } > qtcreator.includes
780 touch qtcreator.config qtcreator.creator
781
782 vcxsrc: $(GENFILES) $(EXTRA_TARGETS)
783 rm -rf yosys-win32-vcxsrc-$(YOSYS_VER){,.zip}
784 set -e; for f in `ls $(filter %.cc %.cpp,$(GENFILES)) $(addsuffix .cc,$(basename $(OBJS))) $(addsuffix .cpp,$(basename $(OBJS))) 2> /dev/null`; do \
785 echo "Analyse: $$f" >&2; cpp -std=c++11 -MM -I. -D_YOSYS_ $$f; done | sed 's,.*:,,; s,//*,/,g; s,/[^/]*/\.\./,/,g; y, \\,\n\n,;' | grep '^[^/]' | sort -u | grep -v kernel/version_ > srcfiles.txt
786 bash misc/create_vcxsrc.sh yosys-win32-vcxsrc $(YOSYS_VER) $(GIT_REV)
787 echo "namespace Yosys { extern const char *yosys_version_str; const char *yosys_version_str=\"Yosys (Version Information Unavailable)\"; }" > kernel/version.cc
788 zip yosys-win32-vcxsrc-$(YOSYS_VER)/genfiles.zip $(GENFILES) kernel/version.cc
789 zip -r yosys-win32-vcxsrc-$(YOSYS_VER).zip yosys-win32-vcxsrc-$(YOSYS_VER)/
790 rm -f srcfiles.txt kernel/version.cc
791
792 ifeq ($(CONFIG),mxe)
793 mxebin: $(TARGETS) $(EXTRA_TARGETS)
794 rm -rf yosys-win32-mxebin-$(YOSYS_VER){,.zip}
795 mkdir -p yosys-win32-mxebin-$(YOSYS_VER)
796 cp -r yosys.exe share/ yosys-win32-mxebin-$(YOSYS_VER)/
797 ifeq ($(ENABLE_ABC),1)
798 cp -r yosys-abc.exe abc/lib/x86/pthreadVC2.dll yosys-win32-mxebin-$(YOSYS_VER)/
799 endif
800 echo -en 'This is Yosys $(YOSYS_VER) for Win32.\r\n' > yosys-win32-mxebin-$(YOSYS_VER)/readme.txt
801 echo -en 'Documentation at http://www.clifford.at/yosys/.\r\n' >> yosys-win32-mxebin-$(YOSYS_VER)/readme.txt
802 zip -r yosys-win32-mxebin-$(YOSYS_VER).zip yosys-win32-mxebin-$(YOSYS_VER)/
803 endif
804
805 config-clean: clean
806 rm -f Makefile.conf
807
808 config-clang: clean
809 echo 'CONFIG := clang' > Makefile.conf
810
811 config-gcc: clean
812 echo 'CONFIG := gcc' > Makefile.conf
813
814 config-gcc-static: clean
815 echo 'CONFIG := gcc-static' > Makefile.conf
816 echo 'ENABLE_PLUGINS := 0' >> Makefile.conf
817 echo 'ENABLE_READLINE := 0' >> Makefile.conf
818 echo 'ENABLE_TCL := 0' >> Makefile.conf
819
820 config-gcc-4.8: clean
821 echo 'CONFIG := gcc-4.8' > Makefile.conf
822
823 config-emcc: clean
824 echo 'CONFIG := emcc' > Makefile.conf
825 echo 'ENABLE_TCL := 0' >> Makefile.conf
826 echo 'ENABLE_ABC := 0' >> Makefile.conf
827 echo 'ENABLE_PLUGINS := 0' >> Makefile.conf
828 echo 'ENABLE_READLINE := 0' >> Makefile.conf
829
830 config-mxe: clean
831 echo 'CONFIG := mxe' > Makefile.conf
832 echo 'ENABLE_PLUGINS := 0' >> Makefile.conf
833
834 config-msys2: clean
835 echo 'CONFIG := msys2' > Makefile.conf
836
837 config-msys2-64: clean
838 echo 'CONFIG := msys2-64' > Makefile.conf
839
840 config-cygwin: clean
841 echo 'CONFIG := cygwin' > Makefile.conf
842
843 config-gcov: clean
844 echo 'CONFIG := gcc' > Makefile.conf
845 echo 'ENABLE_GCOV := 1' >> Makefile.conf
846 echo 'ENABLE_DEBUG := 1' >> Makefile.conf
847
848 config-gprof: clean
849 echo 'CONFIG := gcc' > Makefile.conf
850 echo 'ENABLE_GPROF := 1' >> Makefile.conf
851
852 config-sudo:
853 echo "INSTALL_SUDO := sudo" >> Makefile.conf
854
855 echo-yosys-ver:
856 @echo "$(YOSYS_VER)"
857
858 echo-git-rev:
859 @echo "$(GIT_REV)"
860
861 -include libs/*/*.d
862 -include frontends/*/*.d
863 -include passes/*/*.d
864 -include backends/*/*.d
865 -include kernel/*.d
866 -include techlibs/*/*.d
867
868 .PHONY: all top-all abc test install install-abc manual clean mrproper qtcreator coverage vcxsrc mxebin
869 .PHONY: config-clean config-clang config-gcc config-gcc-static config-gcc-4.8 config-gprof config-sudo
870