Merge remote-tracking branch 'origin/master' into xaig
[yosys.git] / Makefile
1
2 CONFIG := clang
3 # CONFIG := gcc
4 # CONFIG := gcc-4.8
5 # CONFIG := emcc
6 # CONFIG := mxe
7 # CONFIG := msys2
8 # CONFIG := msys2-64
9
10 # features (the more the better)
11 ENABLE_TCL := 1
12 ENABLE_ABC := 1
13 ENABLE_GLOB := 1
14 ENABLE_PLUGINS := 1
15 ENABLE_READLINE := 1
16 ENABLE_EDITLINE := 0
17 ENABLE_VERIFIC := 0
18 ENABLE_COVER := 1
19 ENABLE_LIBYOSYS := 0
20 ENABLE_PROTOBUF := 0
21
22 # python wrappers
23 ENABLE_PYOSYS := 0
24 PYTHON_VERSION_TESTCODE := "import sys;t='{v[0]}.{v[1]}'.format(v=list(sys.version_info[:2]));print(t)"
25 PYTHON_EXECUTABLE := $(shell if python3 -c ""; then echo "python3"; else echo "python"; fi)
26 PYTHON_VERSION := $(shell $(PYTHON_EXECUTABLE) -c ""$(PYTHON_VERSION_TESTCODE)"")
27 PYTHON_MAJOR_VERSION := $(shell echo $(PYTHON_VERSION) | cut -f1 -d.)
28 PYTHON_DESTDIR := `$(PYTHON_EXECUTABLE)-config --prefix`/lib/python$(PYTHON_VERSION)/dist-packages
29
30 # other configuration flags
31 ENABLE_GCOV := 0
32 ENABLE_GPROF := 0
33 ENABLE_DEBUG := 0
34 ENABLE_NDEBUG := 0
35 LINK_CURSES := 0
36 LINK_TERMCAP := 0
37 LINK_ABC := 0
38 # Needed for environments that don't have proper thread support (i.e. emscripten)
39 DISABLE_ABC_THREADS := 0
40
41 # clang sanitizers
42 SANITIZER =
43 # SANITIZER = address
44 # SANITIZER = memory
45 # SANITIZER = undefined
46 # SANITIZER = cfi
47
48
49 OS := $(shell uname -s)
50 PREFIX ?= /usr/local
51 INSTALL_SUDO :=
52
53 BINDIR := $(PREFIX)/bin
54 LIBDIR := $(PREFIX)/lib
55 DATDIR := $(PREFIX)/share/yosys
56
57 EXE =
58 OBJS =
59 GENFILES =
60 EXTRA_OBJS =
61 EXTRA_TARGETS =
62 TARGETS = yosys$(EXE) yosys-config
63
64 PRETTY = 1
65 SMALL = 0
66
67 # Unit test
68 UNITESTPATH := tests/unit
69
70 all: top-all
71
72 YOSYS_SRC := $(dir $(firstword $(MAKEFILE_LIST)))
73 VPATH := $(YOSYS_SRC)
74
75 CXXFLAGS := $(CXXFLAGS) -Wall -Wextra -ggdb -I. -I"$(YOSYS_SRC)" -MD -D_YOSYS_ -fPIC -I$(PREFIX)/include
76 LDFLAGS := $(LDFLAGS) -L$(LIBDIR)
77 LDLIBS := $(LDLIBS) -lstdc++ -lm
78 PLUGIN_LDFLAGS :=
79
80 PKG_CONFIG ?= pkg-config
81 SED ?= sed
82 BISON ?= bison
83 STRIP ?= strip
84 AWK ?= awk
85
86 ifeq ($(OS), Darwin)
87 PLUGIN_LDFLAGS += -undefined dynamic_lookup
88
89 # homebrew search paths
90 ifneq ($(shell which brew),)
91 BREW_PREFIX := $(shell brew --prefix)/opt
92 CXXFLAGS += -I$(BREW_PREFIX)/readline/include
93 LDFLAGS += -L$(BREW_PREFIX)/readline/lib
94 PKG_CONFIG_PATH := $(BREW_PREFIX)/libffi/lib/pkgconfig:$(PKG_CONFIG_PATH)
95 PKG_CONFIG_PATH := $(BREW_PREFIX)/tcl-tk/lib/pkgconfig:$(PKG_CONFIG_PATH)
96 export PATH := $(BREW_PREFIX)/bison/bin:$(BREW_PREFIX)/gettext/bin:$(BREW_PREFIX)/flex/bin:$(PATH)
97
98 # macports search paths
99 else ifneq ($(shell which port),)
100 PORT_PREFIX := $(patsubst %/bin/port,%,$(shell which port))
101 CXXFLAGS += -I$(PORT_PREFIX)/include
102 LDFLAGS += -L$(PORT_PREFIX)/lib
103 PKG_CONFIG_PATH := $(PORT_PREFIX)/lib/pkgconfig:$(PKG_CONFIG_PATH)
104 export PATH := $(PORT_PREFIX)/bin:$(PATH)
105 endif
106
107 else
108 LDFLAGS += -rdynamic
109 LDLIBS += -lrt
110 endif
111
112 YOSYS_VER := 0.8+$(shell cd $(YOSYS_SRC) && test -e .git && { git log --author=clifford@clifford.at --oneline 4d4665b.. 2> /dev/null | wc -l; })
113 GIT_REV := $(shell cd $(YOSYS_SRC) && git rev-parse --short HEAD 2> /dev/null || echo UNKNOWN)
114 OBJS = kernel/version_$(GIT_REV).o
115
116 # set 'ABCREV = default' to use abc/ as it is
117 #
118 # Note: If you do ABC development, make sure that 'abc' in this directory
119 # is just a symlink to your actual ABC working directory, as 'make mrproper'
120 # will remove the 'abc' directory and you do not want to accidentally
121 # delete your work on ABC..
122 ABCREV = 3709744
123 ABCPULL = 1
124 ABCURL ?= https://github.com/berkeley-abc/abc
125 ABCMKARGS = CC="$(CXX)" CXX="$(CXX)" ABC_USE_LIBSTDCXX=1
126
127 # set ABCEXTERNAL = <abc-command> to use an external ABC instance
128 # Note: The in-tree ABC (yosys-abc) will not be installed when ABCEXTERNAL is set.
129 ABCEXTERNAL ?=
130
131 define newline
132
133
134 endef
135
136 ifneq ($(wildcard Makefile.conf),)
137 $(info $(subst $$--$$,$(newline),$(shell sed 's,^,[Makefile.conf] ,; s,$$,$$--$$,;' < Makefile.conf | tr -d '\n' | sed 's,\$$--\$$$$,,')))
138 include Makefile.conf
139 endif
140
141 ifeq ($(CONFIG),clang)
142 CXX = clang
143 LD = clang++
144 CXXFLAGS += -std=c++11 -Os
145 ABCMKARGS += ARCHFLAGS="-DABC_USE_STDINT_H"
146
147 ifneq ($(SANITIZER),)
148 $(info [Clang Sanitizer] $(SANITIZER))
149 CXXFLAGS += -g -O1 -fno-omit-frame-pointer -fno-optimize-sibling-calls -fsanitize=$(SANITIZER)
150 LDFLAGS += -g -fsanitize=$(SANITIZER)
151 ifeq ($(SANITIZER),address)
152 ENABLE_COVER := 0
153 endif
154 ifeq ($(SANITIZER),memory)
155 CXXFLAGS += -fPIE -fsanitize-memory-track-origins
156 LDFLAGS += -fPIE -fsanitize-memory-track-origins
157 endif
158 ifeq ($(SANITIZER),cfi)
159 CXXFLAGS += -flto
160 LDFLAGS += -flto
161 endif
162 endif
163
164 else ifeq ($(CONFIG),gcc)
165 CXX = gcc
166 LD = gcc
167 CXXFLAGS += -std=c++11 -Os
168 ABCMKARGS += ARCHFLAGS="-DABC_USE_STDINT_H"
169
170 else ifeq ($(CONFIG),gcc-static)
171 LD = $(CXX)
172 LDFLAGS := $(filter-out -rdynamic,$(LDFLAGS)) -static
173 LDLIBS := $(filter-out -lrt,$(LDLIBS))
174 CXXFLAGS := $(filter-out -fPIC,$(CXXFLAGS))
175 CXXFLAGS += -std=c++11 -Os
176 ABCMKARGS = CC="$(CC)" CXX="$(CXX)" LD="$(LD)" ABC_USE_LIBSTDCXX=1 LIBS="-lm -lpthread -static" OPTFLAGS="-O" \
177 ARCHFLAGS="-DABC_USE_STDINT_H -DABC_NO_DYNAMIC_LINKING=1 -Wno-unused-but-set-variable $(ARCHFLAGS)" ABC_USE_NO_READLINE=1
178 ifeq ($(DISABLE_ABC_THREADS),1)
179 ABCMKARGS += "ABC_USE_NO_PTHREADS=1"
180 endif
181
182 else ifeq ($(CONFIG),gcc-4.8)
183 CXX = gcc-4.8
184 LD = gcc-4.8
185 CXXFLAGS += -std=c++11 -Os
186 ABCMKARGS += ARCHFLAGS="-DABC_USE_STDINT_H"
187
188 else ifeq ($(CONFIG),cygwin)
189 CXX = gcc
190 LD = gcc
191 CXXFLAGS += -std=gnu++11 -Os
192 ABCMKARGS += ARCHFLAGS="-DABC_USE_STDINT_H"
193
194 else ifeq ($(CONFIG),emcc)
195 CXX = emcc
196 LD = emcc
197 CXXFLAGS := -std=c++11 $(filter-out -fPIC -ggdb,$(CXXFLAGS))
198 ABCMKARGS += ARCHFLAGS="-DABC_USE_STDINT_H -DABC_MEMALIGN=8"
199 EMCCFLAGS := -Os -Wno-warn-absolute-paths
200 EMCCFLAGS += --memory-init-file 0 --embed-file share -s NO_EXIT_RUNTIME=1
201 EMCCFLAGS += -s EXPORTED_FUNCTIONS="['_main','_run','_prompt','_errmsg']"
202 EMCCFLAGS += -s TOTAL_MEMORY=128*1024*1024
203 # https://github.com/kripken/emscripten/blob/master/src/settings.js
204 CXXFLAGS += $(EMCCFLAGS)
205 LDFLAGS += $(EMCCFLAGS)
206 LDLIBS =
207 EXE = .js
208
209 TARGETS := $(filter-out yosys-config,$(TARGETS))
210 EXTRA_TARGETS += yosysjs-$(YOSYS_VER).zip
211
212 ifeq ($(ENABLE_ABC),1)
213 LINK_ABC := 1
214 DISABLE_ABC_THREADS := 1
215 endif
216
217 viz.js:
218 wget -O viz.js.part https://github.com/mdaines/viz.js/releases/download/0.0.3/viz.js
219 mv viz.js.part viz.js
220
221 yosysjs-$(YOSYS_VER).zip: yosys.js viz.js misc/yosysjs/*
222 rm -rf yosysjs-$(YOSYS_VER) yosysjs-$(YOSYS_VER).zip
223 mkdir -p yosysjs-$(YOSYS_VER)
224 cp viz.js misc/yosysjs/* yosys.js yosysjs-$(YOSYS_VER)/
225 zip -r yosysjs-$(YOSYS_VER).zip yosysjs-$(YOSYS_VER)
226
227 yosys.html: misc/yosys.html
228 $(P) cp misc/yosys.html yosys.html
229
230 else ifeq ($(CONFIG),mxe)
231 PKG_CONFIG = /usr/local/src/mxe/usr/bin/i686-w64-mingw32.static-pkg-config
232 CXX = /usr/local/src/mxe/usr/bin/i686-w64-mingw32.static-g++
233 LD = /usr/local/src/mxe/usr/bin/i686-w64-mingw32.static-g++
234 CXXFLAGS += -std=c++11 -Os -D_POSIX_SOURCE -DYOSYS_MXE_HACKS -Wno-attributes
235 CXXFLAGS := $(filter-out -fPIC,$(CXXFLAGS))
236 LDFLAGS := $(filter-out -rdynamic,$(LDFLAGS)) -s
237 LDLIBS := $(filter-out -lrt,$(LDLIBS))
238 ABCMKARGS += ARCHFLAGS="-DWIN32_NO_DLL -DHAVE_STRUCT_TIMESPEC -fpermissive -w"
239 ABCMKARGS += LIBS="lib/x86/pthreadVC2.lib -s" ABC_USE_NO_READLINE=1 CC="/usr/local/src/mxe/usr/bin/i686-w64-mingw32.static-gcc"
240 EXE = .exe
241
242 else ifeq ($(CONFIG),msys2)
243 CXX = i686-w64-mingw32-g++
244 LD = i686-w64-mingw32-g++
245 CXXFLAGS += -std=c++11 -Os -D_POSIX_SOURCE -DYOSYS_WIN32_UNIX_DIR
246 CXXFLAGS := $(filter-out -fPIC,$(CXXFLAGS))
247 LDFLAGS := $(filter-out -rdynamic,$(LDFLAGS)) -s
248 LDLIBS := $(filter-out -lrt,$(LDLIBS))
249 ABCMKARGS += ARCHFLAGS="-DABC_USE_STDINT_H -DWIN32_NO_DLL -DHAVE_STRUCT_TIMESPEC -fpermissive -w"
250 ABCMKARGS += LIBS="-lpthread -s" ABC_USE_NO_READLINE=0 CC="i686-w64-mingw32-gcc" CXX="$(CXX)"
251 EXE = .exe
252
253 else ifeq ($(CONFIG),msys2-64)
254 CXX = x86_64-w64-mingw32-g++
255 LD = x86_64-w64-mingw32-g++
256 CXXFLAGS += -std=c++11 -Os -D_POSIX_SOURCE -DYOSYS_WIN32_UNIX_DIR
257 CXXFLAGS := $(filter-out -fPIC,$(CXXFLAGS))
258 LDFLAGS := $(filter-out -rdynamic,$(LDFLAGS)) -s
259 LDLIBS := $(filter-out -lrt,$(LDLIBS))
260 ABCMKARGS += ARCHFLAGS="-DABC_USE_STDINT_H -DWIN32_NO_DLL -DHAVE_STRUCT_TIMESPEC -fpermissive -w"
261 ABCMKARGS += LIBS="-lpthread -s" ABC_USE_NO_READLINE=0 CC="x86_64-w64-mingw32-gcc" CXX="$(CXX)"
262 EXE = .exe
263
264 else ifneq ($(CONFIG),none)
265 $(error Invalid CONFIG setting '$(CONFIG)'. Valid values: clang, gcc, gcc-4.8, emcc, mxe, msys2, msys2-64)
266 endif
267
268 ifeq ($(ENABLE_LIBYOSYS),1)
269 TARGETS += libyosys.so
270 endif
271
272 ifeq ($(ENABLE_PYOSYS),1)
273
274 #Detect name of boost_python library. Some distros usbe boost_python-py<version>, other boost_python<version>, some only use the major version number, some a concatenation of major and minor version numbers
275 BOOST_PYTHON_LIB ?= $(shell \
276 if echo "int main(int argc, char ** argv) {return 0;}" | $(CXX) -xc -o /dev/null `$(PYTHON_EXECUTABLE)-config --libs` -lboost_python-py$(subst .,,$(PYTHON_VERSION)) - > /dev/null 2>&1; then echo "-lboost_python-py$(subst .,,$(PYTHON_VERSION))"; else \
277 if echo "int main(int argc, char ** argv) {return 0;}" | $(CXX) -xc -o /dev/null `$(PYTHON_EXECUTABLE)-config --libs` -lboost_python-py$(subst .,,$(PYTHON_MAJOR_VERSION)) - > /dev/null 2>&1; then echo "-lboost_python-py$(subst .,,$(PYTHON_MAJOR_VERSION))"; else \
278 if echo "int main(int argc, char ** argv) {return 0;}" | $(CXX) -xc -o /dev/null `$(PYTHON_EXECUTABLE)-config --libs` -lboost_python$(subst .,,$(PYTHON_VERSION)) - > /dev/null 2>&1; then echo "-lboost_python$(subst .,,$(PYTHON_VERSION))"; else \
279 if echo "int main(int argc, char ** argv) {return 0;}" | $(CXX) -xc -o /dev/null `$(PYTHON_EXECUTABLE)-config --libs` -lboost_python$(subst .,,$(PYTHON_MAJOR_VERSION)) - > /dev/null 2>&1; then echo "-lboost_python$(subst .,,$(PYTHON_MAJOR_VERSION))"; else \
280 echo ""; fi; fi; fi; fi;)
281
282 ifeq ($(BOOST_PYTHON_LIB),)
283 $(error BOOST_PYTHON_LIB could not be detected. Please define manualy)
284 endif
285
286 ifeq ($(PYTHON_MAJOR_VERSION),3)
287 LDLIBS += `$(PYTHON_EXECUTABLE)-config --libs` $(BOOST_PYTHON_LIB) -lboost_system -lboost_filesystem
288 CXXFLAGS += `$(PYTHON_EXECUTABLE)-config --includes` -D WITH_PYTHON
289 else
290 LDLIBS += `$(PYTHON_EXECUTABLE)-config --libs` $(BOOST_PYTHON_LIB) -lboost_system -lboost_filesystem
291 CXXFLAGS += `$(PYTHON_EXECUTABLE)-config --includes` -D WITH_PYTHON
292 endif
293
294 PY_WRAPPER_FILE = kernel/python_wrappers
295 OBJS += $(PY_WRAPPER_FILE).o
296 PY_GEN_SCRIPT= py_wrap_generator
297 PY_WRAP_INCLUDES := $(shell python$(PYTHON_VERSION) -c "import $(PY_GEN_SCRIPT); $(PY_GEN_SCRIPT).print_includes()")
298 endif
299
300 ifeq ($(ENABLE_READLINE),1)
301 CXXFLAGS += -DYOSYS_ENABLE_READLINE
302 ifeq ($(OS), FreeBSD)
303 CXXFLAGS += -I/usr/local/include
304 endif
305 LDLIBS += -lreadline
306 ifeq ($(LINK_CURSES),1)
307 LDLIBS += -lcurses
308 ABCMKARGS += "ABC_READLINE_LIBRARIES=-lcurses -lreadline"
309 endif
310 ifeq ($(LINK_TERMCAP),1)
311 LDLIBS += -ltermcap
312 ABCMKARGS += "ABC_READLINE_LIBRARIES=-lreadline -ltermcap"
313 endif
314 ifeq ($(CONFIG),mxe)
315 LDLIBS += -ltermcap
316 endif
317 else
318 ifeq ($(ENABLE_EDITLINE),1)
319 CXXFLAGS += -DYOSYS_ENABLE_EDITLINE
320 LDLIBS += -ledit -ltinfo -lbsd
321 else
322 ABCMKARGS += "ABC_USE_NO_READLINE=1"
323 endif
324 endif
325
326 ifeq ($(DISABLE_ABC_THREADS),1)
327 ABCMKARGS += "ABC_USE_NO_PTHREADS=1"
328 endif
329
330 ifeq ($(ENABLE_PLUGINS),1)
331 CXXFLAGS += $(shell PKG_CONFIG_PATH=$(PKG_CONFIG_PATH) $(PKG_CONFIG) --silence-errors --cflags libffi) -DYOSYS_ENABLE_PLUGINS
332 LDLIBS += $(shell PKG_CONFIG_PATH=$(PKG_CONFIG_PATH) $(PKG_CONFIG) --silence-errors --libs libffi || echo -lffi)
333 ifneq ($(OS), FreeBSD)
334 LDLIBS += -ldl
335 endif
336 endif
337
338 ifeq ($(ENABLE_GLOB),1)
339 CXXFLAGS += -DYOSYS_ENABLE_GLOB
340 endif
341
342 ifeq ($(ENABLE_TCL),1)
343 TCL_VERSION ?= tcl$(shell bash -c "tclsh <(echo 'puts [info tclversion]')")
344 ifeq ($(OS), FreeBSD)
345 TCL_INCLUDE ?= /usr/local/include/$(TCL_VERSION)
346 else
347 TCL_INCLUDE ?= /usr/include/$(TCL_VERSION)
348 endif
349
350 ifeq ($(CONFIG),mxe)
351 CXXFLAGS += -DYOSYS_ENABLE_TCL
352 LDLIBS += -ltcl86 -lwsock32 -lws2_32 -lnetapi32 -lz
353 else
354 CXXFLAGS += $(shell PKG_CONFIG_PATH=$(PKG_CONFIG_PATH) $(PKG_CONFIG) --silence-errors --cflags tcl || echo -I$(TCL_INCLUDE)) -DYOSYS_ENABLE_TCL
355 ifeq ($(OS), FreeBSD)
356 # FreeBSD uses tcl8.6, but lib is named "libtcl86"
357 LDLIBS += $(shell PKG_CONFIG_PATH=$(PKG_CONFIG_PATH) $(PKG_CONFIG) --silence-errors --libs tcl || echo -l$(TCL_VERSION) | tr -d '.')
358 else
359 LDLIBS += $(shell PKG_CONFIG_PATH=$(PKG_CONFIG_PATH) $(PKG_CONFIG) --silence-errors --libs tcl || echo -l$(TCL_VERSION))
360 endif
361 endif
362 endif
363
364 ifeq ($(ENABLE_GCOV),1)
365 CXXFLAGS += --coverage
366 LDFLAGS += --coverage
367 endif
368
369 ifeq ($(ENABLE_GPROF),1)
370 CXXFLAGS += -pg
371 LDFLAGS += -pg
372 endif
373
374 ifeq ($(ENABLE_NDEBUG),1)
375 CXXFLAGS := -O3 -DNDEBUG $(filter-out -Os -ggdb,$(CXXFLAGS))
376 endif
377
378 ifeq ($(ENABLE_DEBUG),1)
379 ifeq ($(CONFIG),clang)
380 CXXFLAGS := -O0 -DDEBUG $(filter-out -Os,$(CXXFLAGS))
381 else
382 CXXFLAGS := -Og -DDEBUG $(filter-out -Os,$(CXXFLAGS))
383 endif
384 endif
385
386 ifeq ($(ENABLE_ABC),1)
387 CXXFLAGS += -DYOSYS_ENABLE_ABC
388 ifeq ($(LINK_ABC),1)
389 CXXFLAGS += -DYOSYS_LINK_ABC
390 ifeq ($(DISABLE_ABC_THREADS),0)
391 LDLIBS += -lpthread
392 endif
393 else
394 ifeq ($(ABCEXTERNAL),)
395 TARGETS += yosys-abc$(EXE)
396 endif
397 endif
398 endif
399
400 ifeq ($(ENABLE_VERIFIC),1)
401 VERIFIC_DIR ?= /usr/local/src/verific_lib
402 VERIFIC_COMPONENTS ?= verilog vhdl database util containers hier_tree
403 CXXFLAGS += $(patsubst %,-I$(VERIFIC_DIR)/%,$(VERIFIC_COMPONENTS)) -DYOSYS_ENABLE_VERIFIC
404 ifeq ($(OS), Darwin)
405 LDLIBS += $(patsubst %,$(VERIFIC_DIR)/%/*-mac.a,$(VERIFIC_COMPONENTS)) -lz
406 else
407 LDLIBS += $(patsubst %,$(VERIFIC_DIR)/%/*-linux.a,$(VERIFIC_COMPONENTS)) -lz
408 endif
409 endif
410
411 ifeq ($(ENABLE_PROTOBUF),1)
412 LDLIBS += $(shell pkg-config --cflags --libs protobuf)
413 endif
414
415 ifeq ($(ENABLE_COVER),1)
416 CXXFLAGS += -DYOSYS_ENABLE_COVER
417 endif
418
419 define add_share_file
420 EXTRA_TARGETS += $(subst //,/,$(1)/$(notdir $(2)))
421 $(subst //,/,$(1)/$(notdir $(2))): $(2)
422 $$(P) mkdir -p $(1)
423 $$(Q) cp "$(YOSYS_SRC)"/$(2) $(subst //,/,$(1)/$(notdir $(2)))
424 endef
425
426 define add_gen_share_file
427 EXTRA_TARGETS += $(subst //,/,$(1)/$(notdir $(2)))
428 $(subst //,/,$(1)/$(notdir $(2))): $(2)
429 $$(P) mkdir -p $(1)
430 $$(Q) cp $(2) $(subst //,/,$(1)/$(notdir $(2)))
431 endef
432
433 define add_include_file
434 $(eval $(call add_share_file,$(dir share/include/$(1)),$(1)))
435 endef
436
437 ifeq ($(PRETTY), 1)
438 P_STATUS = 0
439 P_OFFSET = 0
440 P_UPDATE = $(eval P_STATUS=$(shell echo $(OBJS) yosys$(EXE) | $(AWK) 'BEGIN { RS = " "; I = $(P_STATUS)+0; } $$1 == "$@" && NR > I { I = NR; } END { print I; }'))
441 P_SHOW = [$(shell $(AWK) "BEGIN { N=$(words $(OBJS) yosys$(EXE)); printf \"%3d\", $(P_OFFSET)+90*$(P_STATUS)/N; exit; }")%]
442 P = @echo "$(if $(findstring $@,$(TARGETS) $(EXTRA_TARGETS)),$(eval P_OFFSET = 10))$(call P_UPDATE)$(call P_SHOW) Building $@";
443 Q = @
444 S = -s
445 else
446 P_SHOW = ->
447 P =
448 Q =
449 S =
450 endif
451
452 $(eval $(call add_include_file,kernel/yosys.h))
453 $(eval $(call add_include_file,kernel/hashlib.h))
454 $(eval $(call add_include_file,kernel/log.h))
455 $(eval $(call add_include_file,kernel/rtlil.h))
456 $(eval $(call add_include_file,kernel/register.h))
457 $(eval $(call add_include_file,kernel/celltypes.h))
458 $(eval $(call add_include_file,kernel/celledges.h))
459 $(eval $(call add_include_file,kernel/consteval.h))
460 $(eval $(call add_include_file,kernel/sigtools.h))
461 $(eval $(call add_include_file,kernel/modtools.h))
462 $(eval $(call add_include_file,kernel/macc.h))
463 $(eval $(call add_include_file,kernel/utils.h))
464 $(eval $(call add_include_file,kernel/satgen.h))
465 $(eval $(call add_include_file,libs/ezsat/ezsat.h))
466 $(eval $(call add_include_file,libs/ezsat/ezminisat.h))
467 $(eval $(call add_include_file,libs/sha1/sha1.h))
468 $(eval $(call add_include_file,passes/fsm/fsmdata.h))
469 $(eval $(call add_include_file,frontends/ast/ast.h))
470 $(eval $(call add_include_file,backends/ilang/ilang_backend.h))
471
472 OBJS += kernel/driver.o kernel/register.o kernel/rtlil.o kernel/log.o kernel/calc.o kernel/yosys.o
473 OBJS += kernel/cellaigs.o kernel/celledges.o
474 OBJS += kernel/cost.o
475
476 kernel/log.o: CXXFLAGS += -DYOSYS_SRC='"$(YOSYS_SRC)"'
477 kernel/yosys.o: CXXFLAGS += -DYOSYS_DATDIR='"$(DATDIR)"'
478
479 OBJS += libs/bigint/BigIntegerAlgorithms.o libs/bigint/BigInteger.o libs/bigint/BigIntegerUtils.o
480 OBJS += libs/bigint/BigUnsigned.o libs/bigint/BigUnsignedInABase.o
481
482 OBJS += libs/sha1/sha1.o
483
484 ifneq ($(SMALL),1)
485
486 OBJS += libs/subcircuit/subcircuit.o
487
488 OBJS += libs/ezsat/ezsat.o
489 OBJS += libs/ezsat/ezminisat.o
490
491 OBJS += libs/minisat/Options.o
492 OBJS += libs/minisat/SimpSolver.o
493 OBJS += libs/minisat/Solver.o
494 OBJS += libs/minisat/System.o
495
496 include $(YOSYS_SRC)/frontends/*/Makefile.inc
497 include $(YOSYS_SRC)/passes/*/Makefile.inc
498 include $(YOSYS_SRC)/backends/*/Makefile.inc
499 include $(YOSYS_SRC)/techlibs/*/Makefile.inc
500
501 else
502
503 include frontends/verilog/Makefile.inc
504 include frontends/ilang/Makefile.inc
505 include frontends/ast/Makefile.inc
506 include frontends/blif/Makefile.inc
507
508 OBJS += passes/hierarchy/hierarchy.o
509 OBJS += passes/cmds/select.o
510 OBJS += passes/cmds/show.o
511 OBJS += passes/cmds/stat.o
512 OBJS += passes/cmds/cover.o
513 OBJS += passes/cmds/design.o
514 OBJS += passes/cmds/plugin.o
515
516 include passes/proc/Makefile.inc
517 include passes/opt/Makefile.inc
518 include passes/techmap/Makefile.inc
519
520 include backends/verilog/Makefile.inc
521 include backends/ilang/Makefile.inc
522
523 include techlibs/common/Makefile.inc
524
525 endif
526
527 ifeq ($(LINK_ABC),1)
528 OBJS += yosys-libabc.a
529 endif
530
531 top-all: $(TARGETS) $(EXTRA_TARGETS)
532 @echo ""
533 @echo " Build successful."
534 @echo ""
535
536 ifeq ($(CONFIG),emcc)
537 yosys.js: $(filter-out yosysjs-$(YOSYS_VER).zip,$(EXTRA_TARGETS))
538 endif
539
540 yosys$(EXE): $(OBJS)
541 $(P) $(LD) -o yosys$(EXE) $(LDFLAGS) $(OBJS) $(LDLIBS)
542
543 libyosys.so: $(filter-out kernel/driver.o,$(OBJS))
544 $(P) $(LD) -o libyosys.so -shared -Wl,-soname,libyosys.so $(LDFLAGS) $^ $(LDLIBS)
545
546 %.o: %.cc
547 $(Q) mkdir -p $(dir $@)
548 $(P) $(CXX) -o $@ -c $(CPPFLAGS) $(CXXFLAGS) $<
549
550 %.pyh: %.h
551 $(Q) mkdir -p $(dir $@)
552 $(P) cat $< | grep -E -v "#[ ]*(include|error)" | $(LD) -x c++ -o $@ -E -P -
553
554 $(PY_WRAPPER_FILE).cc: $(PY_GEN_SCRIPT).py $(PY_WRAP_INCLUDES)
555 $(Q) mkdir -p $(dir $@)
556 $(P) python$(PYTHON_VERSION) -c "import $(PY_GEN_SCRIPT); $(PY_GEN_SCRIPT).gen_wrappers(\"$(PY_WRAPPER_FILE).cc\")"
557
558 %.o: %.cpp
559 $(Q) mkdir -p $(dir $@)
560 $(P) $(CXX) -o $@ -c $(CPPFLAGS) $(CXXFLAGS) $<
561
562 YOSYS_VER_STR := Yosys $(YOSYS_VER) (git sha1 $(GIT_REV), $(notdir $(CXX)) $(shell \
563 $(CXX) --version | tr ' ()' '\n' | grep '^[0-9]' | head -n1) $(filter -f% -m% -O% -DNDEBUG,$(CXXFLAGS)))
564
565 kernel/version_$(GIT_REV).cc: $(YOSYS_SRC)/Makefile
566 $(P) rm -f kernel/version_*.o kernel/version_*.d kernel/version_*.cc
567 $(Q) mkdir -p kernel && echo "namespace Yosys { extern const char *yosys_version_str; const char *yosys_version_str=\"$(YOSYS_VER_STR)\"; }" > kernel/version_$(GIT_REV).cc
568
569 ifeq ($(ENABLE_VERIFIC),1)
570 CXXFLAGS_NOVERIFIC = $(foreach v,$(CXXFLAGS),$(if $(findstring $(VERIFIC_DIR),$(v)),,$(v)))
571 LDLIBS_NOVERIFIC = $(foreach v,$(LDLIBS),$(if $(findstring $(VERIFIC_DIR),$(v)),,$(v)))
572 else
573 CXXFLAGS_NOVERIFIC = $(CXXFLAGS)
574 LDLIBS_NOVERIFIC = $(LDLIBS)
575 endif
576
577 yosys-config: misc/yosys-config.in
578 $(P) $(SED) -e 's#@CXXFLAGS@#$(subst -I. -I"$(YOSYS_SRC)",-I"$(DATDIR)/include",$(strip $(CXXFLAGS_NOVERIFIC)))#;' \
579 -e 's#@CXX@#$(strip $(CXX))#;' -e 's#@LDFLAGS@#$(strip $(LDFLAGS) $(PLUGIN_LDFLAGS))#;' -e 's#@LDLIBS@#$(strip $(LDLIBS_NOVERIFIC))#;' \
580 -e 's#@BINDIR@#$(strip $(BINDIR))#;' -e 's#@DATDIR@#$(strip $(DATDIR))#;' < $< > yosys-config
581 $(Q) chmod +x yosys-config
582
583 abc/abc-$(ABCREV)$(EXE) abc/libabc-$(ABCREV).a:
584 $(P)
585 ifneq ($(ABCREV),default)
586 $(Q) if test -d abc/.hg; then \
587 echo 'REEBE: NOP qverpgbel vf n ut jbexvat pbcl! Erzbir nop/ naq er-eha "znxr".' | tr 'A-Za-z' 'N-ZA-Mn-za-m'; false; \
588 fi
589 $(Q) if ( cd abc 2> /dev/null && ! git diff-index --quiet HEAD; ); then \
590 echo 'REEBE: NOP pbagnvaf ybpny zbqvsvpngvbaf! Frg NOPERI=qrsnhyg va Lbflf Znxrsvyr!' | tr 'A-Za-z' 'N-ZA-Mn-za-m'; false; \
591 fi
592 $(Q) if test "`cd abc 2> /dev/null && git rev-parse --short HEAD`" != "$(ABCREV)"; then \
593 test $(ABCPULL) -ne 0 || { echo 'REEBE: NOP abg hc gb qngr naq NOPCHYY frg gb 0 va Znxrsvyr!' | tr 'A-Za-z' 'N-ZA-Mn-za-m'; exit 1; }; \
594 echo "Pulling ABC from $(ABCURL):"; set -x; \
595 test -d abc || git clone $(ABCURL) abc; \
596 cd abc && $(MAKE) DEP= clean && git fetch origin master && git checkout $(ABCREV); \
597 fi
598 endif
599 $(Q) rm -f abc/abc-[0-9a-f]*
600 $(Q) cd abc && $(MAKE) $(S) $(ABCMKARGS) $(if $(filter %.a,$@),PROG="abc-$(ABCREV)",PROG="abc-$(ABCREV)$(EXE)") MSG_PREFIX="$(eval P_OFFSET = 5)$(call P_SHOW)$(eval P_OFFSET = 10) ABC: " $(if $(filter %.a,$@),libabc-$(ABCREV).a)
601
602 ifeq ($(ABCREV),default)
603 .PHONY: abc/abc-$(ABCREV)$(EXE)
604 .PHONY: abc/libabc-$(ABCREV).a
605 endif
606
607 yosys-abc$(EXE): abc/abc-$(ABCREV)$(EXE)
608 $(P) cp abc/abc-$(ABCREV)$(EXE) yosys-abc$(EXE)
609
610 yosys-libabc.a: abc/libabc-$(ABCREV).a
611 $(P) cp abc/libabc-$(ABCREV).a yosys-libabc.a
612
613 ifneq ($(SEED),)
614 SEEDOPT="-S $(SEED)"
615 else
616 SEEDOPT=""
617 endif
618
619 test: $(TARGETS) $(EXTRA_TARGETS)
620 +cd tests/simple && bash run-test.sh $(SEEDOPT)
621 +cd tests/hana && bash run-test.sh $(SEEDOPT)
622 +cd tests/asicworld && bash run-test.sh $(SEEDOPT)
623 # +cd tests/realmath && bash run-test.sh $(SEEDOPT)
624 +cd tests/share && bash run-test.sh $(SEEDOPT)
625 +cd tests/fsm && bash run-test.sh $(SEEDOPT)
626 +cd tests/techmap && bash run-test.sh
627 +cd tests/memories && bash run-test.sh $(SEEDOPT)
628 +cd tests/bram && bash run-test.sh $(SEEDOPT)
629 +cd tests/various && bash run-test.sh
630 +cd tests/sat && bash run-test.sh
631 +cd tests/svinterfaces && bash run-test.sh $(SEEDOPT)
632 +cd tests/opt && bash run-test.sh
633 +cd tests/aiger && bash run-test.sh
634 @echo ""
635 @echo " Passed \"make test\"."
636 @echo ""
637
638 VALGRIND ?= valgrind --error-exitcode=1 --leak-check=full --show-reachable=yes --errors-for-leak-kinds=all
639
640 vgtest: $(TARGETS) $(EXTRA_TARGETS)
641 $(VALGRIND) ./yosys -p 'setattr -mod -unset top; synth' $$( ls tests/simple/*.v | grep -v repwhile.v )
642 @echo ""
643 @echo " Passed \"make vgtest\"."
644 @echo ""
645
646 vloghtb: $(TARGETS) $(EXTRA_TARGETS)
647 +cd tests/vloghtb && bash run-test.sh
648 @echo ""
649 @echo " Passed \"make vloghtb\"."
650 @echo ""
651
652 ystests: $(TARGETS) $(EXTRA_TARGETS)
653 rm -rf tests/ystests
654 git clone https://github.com/YosysHQ/yosys-tests.git tests/ystests
655 +$(MAKE) PATH="$$PWD:$$PATH" -C tests/ystests
656 @echo ""
657 @echo " Finished \"make ystests\"."
658 @echo ""
659
660 # Unit test
661 unit-test: libyosys.so
662 @$(MAKE) -C $(UNITESTPATH) CXX="$(CXX)" CPPFLAGS="$(CPPFLAGS)" \
663 CXXFLAGS="$(CXXFLAGS)" LDLIBS="$(LDLIBS)" ROOTPATH="$(CURDIR)"
664
665 clean-unit-test:
666 @$(MAKE) -C $(UNITESTPATH) clean
667
668 install: $(TARGETS) $(EXTRA_TARGETS)
669 $(INSTALL_SUDO) mkdir -p $(DESTDIR)$(BINDIR)
670 $(INSTALL_SUDO) cp $(TARGETS) $(DESTDIR)$(BINDIR)
671 ifneq ($(filter yosys,$(TARGETS)),)
672 $(INSTALL_SUDO) $(STRIP) -S $(DESTDIR)$(BINDIR)/yosys
673 endif
674 ifneq ($(filter yosys-abc,$(TARGETS)),)
675 $(INSTALL_SUDO) $(STRIP) $(DESTDIR)$(BINDIR)/yosys-abc
676 endif
677 ifneq ($(filter yosys-filterlib,$(TARGETS)),)
678 $(INSTALL_SUDO) $(STRIP) $(DESTDIR)$(BINDIR)/yosys-filterlib
679 endif
680 $(INSTALL_SUDO) mkdir -p $(DESTDIR)$(DATDIR)
681 $(INSTALL_SUDO) cp -r share/. $(DESTDIR)$(DATDIR)/.
682 ifeq ($(ENABLE_LIBYOSYS),1)
683 $(INSTALL_SUDO) cp libyosys.so $(DESTDIR)$(LIBDIR)
684 $(INSTALL_SUDO) $(STRIP) -S $(DESTDIR)$(LIBDIR)/libyosys.so
685 $(INSTALL_SUDO) ldconfig
686 ifeq ($(ENABLE_PYOSYS),1)
687 $(INSTALL_SUDO) mkdir -p $(PYTHON_DESTDIR)/pyosys
688 $(INSTALL_SUDO) cp libyosys.so $(PYTHON_DESTDIR)/pyosys
689 $(INSTALL_SUDO) cp __init__.py $(PYTHON_DESTDIR)/pyosys
690 endif
691 endif
692
693 uninstall:
694 $(INSTALL_SUDO) rm -vf $(addprefix $(DESTDIR)$(BINDIR)/,$(notdir $(TARGETS)))
695 $(INSTALL_SUDO) rm -rvf $(DESTDIR)$(DATDIR)
696 ifeq ($(ENABLE_LIBYOSYS),1)
697 $(INSTALL_SUDO) rm -vf $(DESTDIR)$(LIBDIR)/libyosys.so
698 ifeq ($(ENABLE_PYOSYS),1)
699 $(INSTALL_SUDO) rm -vf $(PYTHON_DESTDIR)/pyosys/libyosys.so
700 $(INSTALL_SUDO) rm -vf $(PYTHON_DESTDIR)/pyosys/__init__.py
701 $(INSTALL_SUDO) rmdir $(PYTHON_DESTDIR)/pyosys
702 endif
703 endif
704
705 update-manual: $(TARGETS) $(EXTRA_TARGETS)
706 cd manual && ../yosys -p 'help -write-tex-command-reference-manual'
707
708 manual: $(TARGETS) $(EXTRA_TARGETS)
709 cd manual && bash appnotes.sh
710 cd manual && bash presentation.sh
711 cd manual && bash manual.sh
712
713 clean:
714 rm -rf share
715 rm -rf kernel/*.pyh
716 if test -d manual; then cd manual && sh clean.sh; fi
717 rm -f $(OBJS) $(GENFILES) $(TARGETS) $(EXTRA_TARGETS) $(EXTRA_OBJS) $(PY_WRAP_INCLUDES) $(PY_WRAPPER_FILE).cc
718 rm -f kernel/version_*.o kernel/version_*.cc abc/abc-[0-9a-f]* abc/libabc-[0-9a-f]*.a
719 rm -f libs/*/*.d frontends/*/*.d passes/*/*.d backends/*/*.d kernel/*.d techlibs/*/*.d
720 rm -rf tests/asicworld/*.out tests/asicworld/*.log
721 rm -rf tests/hana/*.out tests/hana/*.log
722 rm -rf tests/simple/*.out tests/simple/*.log
723 rm -rf tests/memories/*.out tests/memories/*.log tests/memories/*.dmp
724 rm -rf tests/sat/*.log tests/techmap/*.log tests/various/*.log
725 rm -rf tests/bram/temp tests/fsm/temp tests/realmath/temp tests/share/temp tests/smv/temp
726 rm -rf vloghtb/Makefile vloghtb/refdat vloghtb/rtl vloghtb/scripts vloghtb/spec vloghtb/check_yosys vloghtb/vloghammer_tb.tar.bz2 vloghtb/temp vloghtb/log_test_*
727 rm -f tests/svinterfaces/*.log_stdout tests/svinterfaces/*.log_stderr tests/svinterfaces/dut_result.txt tests/svinterfaces/reference_result.txt tests/svinterfaces/a.out tests/svinterfaces/*_syn.v tests/svinterfaces/*.diff
728 rm -f tests/tools/cmp_tbdata
729
730 clean-abc:
731 $(MAKE) -C abc DEP= clean
732 rm -f yosys-abc$(EXE) yosys-libabc.a abc/abc-[0-9a-f]* abc/libabc-[0-9a-f]*.a
733
734 mrproper: clean
735 git clean -xdf
736
737 coverage:
738 ./yosys -qp 'help; help -all'
739 rm -rf coverage.info coverage_html
740 lcov --capture -d . --no-external -o coverage.info
741 genhtml coverage.info --output-directory coverage_html
742
743 qtcreator:
744 { for file in $(basename $(OBJS)); do \
745 for prefix in cc y l; do if [ -f $${file}.$${prefix} ]; then echo $$file.$${prefix}; fi; done \
746 done; find backends frontends kernel libs passes -type f \( -name '*.h' -o -name '*.hh' \); } > qtcreator.files
747 { echo .; find backends frontends kernel libs passes -type f \( -name '*.h' -o -name '*.hh' \) -printf '%h\n' | sort -u; } > qtcreator.includes
748 touch qtcreator.config qtcreator.creator
749
750 vcxsrc: $(GENFILES) $(EXTRA_TARGETS)
751 rm -rf yosys-win32-vcxsrc-$(YOSYS_VER){,.zip}
752 set -e; for f in `ls $(filter %.cc %.cpp,$(GENFILES)) $(addsuffix .cc,$(basename $(OBJS))) $(addsuffix .cpp,$(basename $(OBJS))) 2> /dev/null`; do \
753 echo "Analyse: $$f" >&2; cpp -std=c++11 -MM -I. -D_YOSYS_ $$f; done | sed 's,.*:,,; s,//*,/,g; s,/[^/]*/\.\./,/,g; y, \\,\n\n,;' | grep '^[^/]' | sort -u | grep -v kernel/version_ > srcfiles.txt
754 bash misc/create_vcxsrc.sh yosys-win32-vcxsrc $(YOSYS_VER) $(GIT_REV)
755 echo "namespace Yosys { extern const char *yosys_version_str; const char *yosys_version_str=\"Yosys (Version Information Unavailable)\"; }" > kernel/version.cc
756 zip yosys-win32-vcxsrc-$(YOSYS_VER)/genfiles.zip $(GENFILES) kernel/version.cc
757 zip -r yosys-win32-vcxsrc-$(YOSYS_VER).zip yosys-win32-vcxsrc-$(YOSYS_VER)/
758 rm -f srcfiles.txt kernel/version.cc
759
760 ifeq ($(CONFIG),mxe)
761 mxebin: $(TARGETS) $(EXTRA_TARGETS)
762 rm -rf yosys-win32-mxebin-$(YOSYS_VER){,.zip}
763 mkdir -p yosys-win32-mxebin-$(YOSYS_VER)
764 cp -r yosys.exe share/ yosys-win32-mxebin-$(YOSYS_VER)/
765 ifeq ($(ENABLE_ABC),1)
766 cp -r yosys-abc.exe abc/lib/x86/pthreadVC2.dll yosys-win32-mxebin-$(YOSYS_VER)/
767 endif
768 echo -en 'This is Yosys $(YOSYS_VER) for Win32.\r\n' > yosys-win32-mxebin-$(YOSYS_VER)/readme.txt
769 echo -en 'Documentation at http://www.clifford.at/yosys/.\r\n' >> yosys-win32-mxebin-$(YOSYS_VER)/readme.txt
770 zip -r yosys-win32-mxebin-$(YOSYS_VER).zip yosys-win32-mxebin-$(YOSYS_VER)/
771 endif
772
773 config-clean: clean
774 rm -f Makefile.conf
775
776 config-clang: clean
777 echo 'CONFIG := clang' > Makefile.conf
778
779 config-gcc: clean
780 echo 'CONFIG := gcc' > Makefile.conf
781
782 config-gcc-static: clean
783 echo 'CONFIG := gcc-static' > Makefile.conf
784 echo 'ENABLE_PLUGINS := 0' >> Makefile.conf
785 echo 'ENABLE_READLINE := 0' >> Makefile.conf
786 echo 'ENABLE_TCL := 0' >> Makefile.conf
787
788 config-gcc-4.8: clean
789 echo 'CONFIG := gcc-4.8' > Makefile.conf
790
791 config-emcc: clean
792 echo 'CONFIG := emcc' > Makefile.conf
793 echo 'ENABLE_TCL := 0' >> Makefile.conf
794 echo 'ENABLE_ABC := 0' >> Makefile.conf
795 echo 'ENABLE_PLUGINS := 0' >> Makefile.conf
796 echo 'ENABLE_READLINE := 0' >> Makefile.conf
797
798 config-mxe: clean
799 echo 'CONFIG := mxe' > Makefile.conf
800 echo 'ENABLE_PLUGINS := 0' >> Makefile.conf
801
802 config-msys2: clean
803 echo 'CONFIG := msys2' > Makefile.conf
804
805 config-msys2-64: clean
806 echo 'CONFIG := msys2-64' > Makefile.conf
807
808 config-cygwin: clean
809 echo 'CONFIG := cygwin' > Makefile.conf
810
811 config-gcov: clean
812 echo 'CONFIG := gcc' > Makefile.conf
813 echo 'ENABLE_GCOV := 1' >> Makefile.conf
814 echo 'ENABLE_DEBUG := 1' >> Makefile.conf
815
816 config-gprof: clean
817 echo 'CONFIG := gcc' > Makefile.conf
818 echo 'ENABLE_GPROF := 1' >> Makefile.conf
819
820 config-sudo:
821 echo "INSTALL_SUDO := sudo" >> Makefile.conf
822
823 echo-yosys-ver:
824 @echo "$(YOSYS_VER)"
825
826 echo-git-rev:
827 @echo "$(GIT_REV)"
828
829 -include libs/*/*.d
830 -include frontends/*/*.d
831 -include passes/*/*.d
832 -include backends/*/*.d
833 -include kernel/*.d
834 -include techlibs/*/*.d
835
836 .PHONY: all top-all abc test install install-abc manual clean mrproper qtcreator coverage vcxsrc mxebin
837 .PHONY: config-clean config-clang config-gcc config-gcc-static config-gcc-4.8 config-gprof config-sudo
838