Merge pull request #571 from q3k/q3k/protobuf-backend
[yosys.git] / Makefile
1
2 CONFIG := clang
3 # CONFIG := gcc
4 # CONFIG := gcc-4.8
5 # CONFIG := emcc
6 # CONFIG := mxe
7 # CONFIG := msys2
8
9 # features (the more the better)
10 ENABLE_TCL := 1
11 ENABLE_ABC := 1
12 ENABLE_PLUGINS := 1
13 ENABLE_READLINE := 1
14 ENABLE_EDITLINE := 0
15 ENABLE_VERIFIC := 0
16 ENABLE_COVER := 1
17 ENABLE_LIBYOSYS := 0
18 ENABLE_PROTOBUF := 0
19
20 # other configuration flags
21 ENABLE_GPROF := 0
22 ENABLE_DEBUG := 0
23 ENABLE_NDEBUG := 0
24 LINK_CURSES := 0
25 LINK_TERMCAP := 0
26 LINK_ABC := 0
27 # Needed for environments that don't have proper thread support (i.e. emscripten)
28 DISABLE_ABC_THREADS := 0
29
30 # clang sanitizers
31 SANITIZER =
32 # SANITIZER = address
33 # SANITIZER = memory
34 # SANITIZER = undefined
35 # SANITIZER = cfi
36
37
38 OS := $(shell uname -s)
39 PREFIX ?= /usr/local
40 INSTALL_SUDO :=
41
42 BINDIR := $(PREFIX)/bin
43 LIBDIR := $(PREFIX)/lib
44 DATDIR := $(PREFIX)/share/yosys
45
46 EXE =
47 OBJS =
48 GENFILES =
49 EXTRA_OBJS =
50 EXTRA_TARGETS =
51 TARGETS = yosys$(EXE) yosys-config
52
53 PRETTY = 1
54 SMALL = 0
55
56 # Unit test
57 UNITESTPATH := tests/unit
58
59 all: top-all
60
61 YOSYS_SRC := $(dir $(firstword $(MAKEFILE_LIST)))
62 VPATH := $(YOSYS_SRC)
63
64 CXXFLAGS := $(CXXFLAGS) -Wall -Wextra -ggdb -I. -I"$(YOSYS_SRC)" -MD -D_YOSYS_ -fPIC -I$(PREFIX)/include
65 LDFLAGS := $(LDFLAGS) -L$(LIBDIR)
66 LDLIBS := $(LDLIBS) -lstdc++ -lm
67 PLUGIN_LDFLAGS :=
68
69 PKG_CONFIG ?= pkg-config
70 SED ?= sed
71 BISON ?= bison
72 STRIP ?= strip
73
74 ifeq ($(OS), Darwin)
75 PLUGIN_LDFLAGS += -undefined dynamic_lookup
76
77 # homebrew search paths
78 ifneq ($(shell which brew),)
79 BREW_PREFIX := $(shell brew --prefix)/opt
80 CXXFLAGS += -I$(BREW_PREFIX)/readline/include
81 LDFLAGS += -L$(BREW_PREFIX)/readline/lib
82 PKG_CONFIG_PATH := $(BREW_PREFIX)/libffi/lib/pkgconfig:$(PKG_CONFIG_PATH)
83 PKG_CONFIG_PATH := $(BREW_PREFIX)/tcl-tk/lib/pkgconfig:$(PKG_CONFIG_PATH)
84 export PATH := $(BREW_PREFIX)/bison/bin:$(BREW_PREFIX)/gettext/bin:$(BREW_PREFIX)/flex/bin:$(PATH)
85
86 # macports search paths
87 else ifneq ($(shell which port),)
88 PORT_PREFIX := $(patsubst %/bin/port,%,$(shell which port))
89 CXXFLAGS += -I$(PORT_PREFIX)/include
90 LDFLAGS += -L$(PORT_PREFIX)/lib
91 PKG_CONFIG_PATH := $(PORT_PREFIX)/lib/pkgconfig:$(PKG_CONFIG_PATH)
92 export PATH := $(PORT_PREFIX)/bin:$(PATH)
93 endif
94
95 else
96 LDFLAGS += -rdynamic
97 LDLIBS += -lrt
98 endif
99
100 YOSYS_VER := 0.7+$(shell cd $(YOSYS_SRC) && test -e .git && { git log --author=clifford@clifford.at --oneline 61f6811.. | wc -l; })
101 GIT_REV := $(shell cd $(YOSYS_SRC) && git rev-parse --short HEAD 2> /dev/null || echo UNKNOWN)
102 OBJS = kernel/version_$(GIT_REV).o
103
104 # set 'ABCREV = default' to use abc/ as it is
105 #
106 # Note: If you do ABC development, make sure that 'abc' in this directory
107 # is just a symlink to your actual ABC working directory, as 'make mrproper'
108 # will remove the 'abc' directory and you do not want to accidentally
109 # delete your work on ABC..
110 ABCREV = 6df1396
111 ABCPULL = 1
112 ABCURL ?= https://github.com/berkeley-abc/abc
113 ABCMKARGS = CC="$(CXX)" CXX="$(CXX)" ABC_USE_LIBSTDCXX=1
114
115 # set ABCEXTERNAL = <abc-command> to use an external ABC instance
116 # Note: The in-tree ABC (yosys-abc) will not be installed when ABCEXTERNAL is set.
117 ABCEXTERNAL ?=
118
119 define newline
120
121
122 endef
123
124 ifneq ($(wildcard Makefile.conf),)
125 $(info $(subst $$--$$,$(newline),$(shell sed 's,^,[Makefile.conf] ,; s,$$,$$--$$,;' < Makefile.conf | tr -d '\n' | sed 's,\$$--\$$$$,,')))
126 include Makefile.conf
127 endif
128
129 ifeq ($(CONFIG),clang)
130 CXX = clang
131 LD = clang++
132 CXXFLAGS += -std=c++11 -Os
133 ABCMKARGS += ARCHFLAGS="-DABC_USE_STDINT_H"
134
135 ifneq ($(SANITIZER),)
136 $(info [Clang Sanitizer] $(SANITIZER))
137 CXXFLAGS += -g -O1 -fno-omit-frame-pointer -fno-optimize-sibling-calls -fsanitize=$(SANITIZER)
138 LDFLAGS += -g -fsanitize=$(SANITIZER)
139 ifeq ($(SANITIZER),address)
140 ENABLE_COVER := 0
141 endif
142 ifeq ($(SANITIZER),memory)
143 CXXFLAGS += -fPIE -fsanitize-memory-track-origins
144 LDFLAGS += -fPIE -fsanitize-memory-track-origins
145 endif
146 ifeq ($(SANITIZER),cfi)
147 CXXFLAGS += -flto
148 LDFLAGS += -flto
149 endif
150 endif
151
152 else ifeq ($(CONFIG),gcc)
153 CXX = gcc
154 LD = gcc
155 CXXFLAGS += -std=c++11 -Os
156 ABCMKARGS += ARCHFLAGS="-DABC_USE_STDINT_H"
157
158 else ifeq ($(CONFIG),gcc-4.8)
159 CXX = gcc-4.8
160 LD = gcc-4.8
161 CXXFLAGS += -std=c++11 -Os
162 ABCMKARGS += ARCHFLAGS="-DABC_USE_STDINT_H"
163
164 else ifeq ($(CONFIG),emcc)
165 CXX = emcc
166 LD = emcc
167 CXXFLAGS := -std=c++11 $(filter-out -fPIC -ggdb,$(CXXFLAGS))
168 ABCMKARGS += ARCHFLAGS="-DABC_USE_STDINT_H -DABC_MEMALIGN=8"
169 EMCCFLAGS := -Os -Wno-warn-absolute-paths
170 EMCCFLAGS += --memory-init-file 0 --embed-file share -s NO_EXIT_RUNTIME=1
171 EMCCFLAGS += -s EXPORTED_FUNCTIONS="['_main','_run','_prompt','_errmsg']"
172 EMCCFLAGS += -s TOTAL_MEMORY=128*1024*1024
173 # https://github.com/kripken/emscripten/blob/master/src/settings.js
174 CXXFLAGS += $(EMCCFLAGS)
175 LDFLAGS += $(EMCCFLAGS)
176 LDLIBS =
177 EXE = .js
178
179 TARGETS := $(filter-out yosys-config,$(TARGETS))
180 EXTRA_TARGETS += yosysjs-$(YOSYS_VER).zip
181
182 ifeq ($(ENABLE_ABC),1)
183 LINK_ABC := 1
184 DISABLE_ABC_THREADS := 1
185 endif
186
187 viz.js:
188 wget -O viz.js.part https://github.com/mdaines/viz.js/releases/download/0.0.3/viz.js
189 mv viz.js.part viz.js
190
191 yosysjs-$(YOSYS_VER).zip: yosys.js viz.js misc/yosysjs/*
192 rm -rf yosysjs-$(YOSYS_VER) yosysjs-$(YOSYS_VER).zip
193 mkdir -p yosysjs-$(YOSYS_VER)
194 cp viz.js misc/yosysjs/* yosys.js yosysjs-$(YOSYS_VER)/
195 zip -r yosysjs-$(YOSYS_VER).zip yosysjs-$(YOSYS_VER)
196
197 yosys.html: misc/yosys.html
198 $(P) cp misc/yosys.html yosys.html
199
200 else ifeq ($(CONFIG),mxe)
201 PKG_CONFIG = /usr/local/src/mxe/usr/bin/i686-w64-mingw32.static-pkg-config
202 CXX = /usr/local/src/mxe/usr/bin/i686-w64-mingw32.static-gcc
203 LD = /usr/local/src/mxe/usr/bin/i686-w64-mingw32.static-gcc
204 CXXFLAGS += -std=c++11 -Os -D_POSIX_SOURCE -DYOSYS_MXE_HACKS -Wno-attributes
205 CXXFLAGS := $(filter-out -fPIC,$(CXXFLAGS))
206 LDFLAGS := $(filter-out -rdynamic,$(LDFLAGS)) -s
207 LDLIBS := $(filter-out -lrt,$(LDLIBS))
208 ABCMKARGS += ARCHFLAGS="-DABC_USE_STDINT_H -DWIN32_NO_DLL -DHAVE_STRUCT_TIMESPEC -fpermissive -w"
209 ABCMKARGS += LIBS="lib/x86/pthreadVC2.lib -s" ABC_USE_NO_READLINE=1
210 EXE = .exe
211
212 else ifeq ($(CONFIG),msys2)
213 CXX = i686-w64-mingw32-g++
214 LD = i686-w64-mingw32-g++
215 CXXFLAGS += -std=c++11 -Os -D_POSIX_SOURCE -DYOSYS_WIN32_UNIX_DIR
216 CXXFLAGS := $(filter-out -fPIC,$(CXXFLAGS))
217 LDFLAGS := $(filter-out -rdynamic,$(LDFLAGS)) -s
218 LDLIBS := $(filter-out -lrt,$(LDLIBS))
219 ABCMKARGS += ARCHFLAGS="-DABC_USE_STDINT_H -DWIN32_NO_DLL -DHAVE_STRUCT_TIMESPEC -fpermissive -w"
220 ABCMKARGS += LIBS="lib/x86/pthreadVC2.lib -s" ABC_USE_NO_READLINE=0
221 EXE = .exe
222
223 else ifneq ($(CONFIG),none)
224 $(error Invalid CONFIG setting '$(CONFIG)'. Valid values: clang, gcc, gcc-4.8, emcc, mxe, msys2)
225 endif
226
227 ifeq ($(ENABLE_LIBYOSYS),1)
228 TARGETS += libyosys.so
229 endif
230
231 ifeq ($(ENABLE_READLINE),1)
232 CXXFLAGS += -DYOSYS_ENABLE_READLINE
233 ifeq ($(OS), FreeBSD)
234 CXXFLAGS += -I/usr/local/include
235 endif
236 LDLIBS += -lreadline
237 ifeq ($(LINK_CURSES),1)
238 LDLIBS += -lcurses
239 ABCMKARGS += "ABC_READLINE_LIBRARIES=-lcurses -lreadline"
240 endif
241 ifeq ($(LINK_TERMCAP),1)
242 LDLIBS += -ltermcap
243 ABCMKARGS += "ABC_READLINE_LIBRARIES=-lreadline -ltermcap"
244 endif
245 ifeq ($(CONFIG),mxe)
246 LDLIBS += -ltermcap
247 endif
248 else
249 ifeq ($(ENABLE_EDITLINE),1)
250 CXXFLAGS += -DYOSYS_ENABLE_EDITLINE
251 LDLIBS += -ledit -ltinfo -lbsd
252 else
253 ABCMKARGS += "ABC_USE_NO_READLINE=1"
254 endif
255 endif
256
257 ifeq ($(DISABLE_ABC_THREADS),1)
258 ABCMKARGS += "ABC_USE_NO_PTHREADS=1"
259 endif
260
261 ifeq ($(ENABLE_PLUGINS),1)
262 CXXFLAGS += $(shell PKG_CONFIG_PATH=$(PKG_CONFIG_PATH) $(PKG_CONFIG) --silence-errors --cflags libffi) -DYOSYS_ENABLE_PLUGINS
263 LDLIBS += $(shell PKG_CONFIG_PATH=$(PKG_CONFIG_PATH) $(PKG_CONFIG) --silence-errors --libs libffi || echo -lffi)
264 ifneq ($(OS), FreeBSD)
265 LDLIBS += -ldl
266 endif
267 endif
268
269 ifeq ($(ENABLE_TCL),1)
270 TCL_VERSION ?= tcl$(shell bash -c "tclsh <(echo 'puts [info tclversion]')")
271 ifeq ($(OS), FreeBSD)
272 TCL_INCLUDE ?= /usr/local/include/$(TCL_VERSION)
273 else
274 TCL_INCLUDE ?= /usr/include/$(TCL_VERSION)
275 endif
276
277 ifeq ($(CONFIG),mxe)
278 CXXFLAGS += -DYOSYS_ENABLE_TCL
279 LDLIBS += -ltcl86 -lwsock32 -lws2_32 -lnetapi32
280 else
281 CXXFLAGS += $(shell PKG_CONFIG_PATH=$(PKG_CONFIG_PATH) $(PKG_CONFIG) --silence-errors --cflags tcl || echo -I$(TCL_INCLUDE)) -DYOSYS_ENABLE_TCL
282 ifeq ($(OS), FreeBSD)
283 # FreeBSD uses tcl8.6, but lib is named "libtcl86"
284 LDLIBS += $(shell PKG_CONFIG_PATH=$(PKG_CONFIG_PATH) $(PKG_CONFIG) --silence-errors --libs tcl || echo -l$(TCL_VERSION) | tr -d '.')
285 else
286 LDLIBS += $(shell PKG_CONFIG_PATH=$(PKG_CONFIG_PATH) $(PKG_CONFIG) --silence-errors --libs tcl || echo -l$(TCL_VERSION))
287 endif
288 endif
289 endif
290
291 ifeq ($(ENABLE_GPROF),1)
292 CXXFLAGS += -pg
293 LDFLAGS += -pg
294 endif
295
296 ifeq ($(ENABLE_NDEBUG),1)
297 CXXFLAGS := -O3 -DNDEBUG $(filter-out -Os -ggdb,$(CXXFLAGS))
298 endif
299
300 ifeq ($(ENABLE_DEBUG),1)
301 ifeq ($(CONFIG),clang)
302 CXXFLAGS := -O0 -DDEBUG $(filter-out -Os,$(CXXFLAGS))
303 else
304 CXXFLAGS := -Og -DDEBUG $(filter-out -Os,$(CXXFLAGS))
305 endif
306 endif
307
308 ifeq ($(ENABLE_ABC),1)
309 CXXFLAGS += -DYOSYS_ENABLE_ABC
310 ifeq ($(LINK_ABC),1)
311 CXXFLAGS += -DYOSYS_LINK_ABC
312 ifeq ($(DISABLE_ABC_THREADS),0)
313 LDLIBS += -lpthread
314 endif
315 else
316 ifeq ($(ABCEXTERNAL),)
317 TARGETS += yosys-abc$(EXE)
318 endif
319 endif
320 endif
321
322 ifeq ($(ENABLE_VERIFIC),1)
323 VERIFIC_DIR ?= /usr/local/src/verific_lib_eval
324 VERIFIC_COMPONENTS ?= verilog vhdl database util containers sdf hier_tree
325 CXXFLAGS += $(patsubst %,-I$(VERIFIC_DIR)/%,$(VERIFIC_COMPONENTS)) -DYOSYS_ENABLE_VERIFIC
326 LDLIBS += $(patsubst %,$(VERIFIC_DIR)/%/*-linux.a,$(VERIFIC_COMPONENTS)) -lz
327 endif
328
329 ifeq ($(ENABLE_PROTOBUF),1)
330 LDLIBS += $(shell pkg-config --cflags --libs protobuf)
331 endif
332
333 ifeq ($(ENABLE_COVER),1)
334 CXXFLAGS += -DYOSYS_ENABLE_COVER
335 endif
336
337 define add_share_file
338 EXTRA_TARGETS += $(subst //,/,$(1)/$(notdir $(2)))
339 $(subst //,/,$(1)/$(notdir $(2))): $(2)
340 $$(P) mkdir -p $(1)
341 $$(Q) cp "$(YOSYS_SRC)"/$(2) $(subst //,/,$(1)/$(notdir $(2)))
342 endef
343
344 define add_gen_share_file
345 EXTRA_TARGETS += $(subst //,/,$(1)/$(notdir $(2)))
346 $(subst //,/,$(1)/$(notdir $(2))): $(2)
347 $$(P) mkdir -p $(1)
348 $$(Q) cp $(2) $(subst //,/,$(1)/$(notdir $(2)))
349 endef
350
351 define add_include_file
352 $(eval $(call add_share_file,$(dir share/include/$(1)),$(1)))
353 endef
354
355 ifeq ($(PRETTY), 1)
356 P_STATUS = 0
357 P_OFFSET = 0
358 P_UPDATE = $(eval P_STATUS=$(shell echo $(OBJS) yosys$(EXE) | gawk 'BEGIN { RS = " "; I = $(P_STATUS)+0; } $$1 == "$@" && NR > I { I = NR; } END { print I; }'))
359 P_SHOW = [$(shell gawk "BEGIN { N=$(words $(OBJS) yosys$(EXE)); printf \"%3d\", $(P_OFFSET)+90*$(P_STATUS)/N; exit; }")%]
360 P = @echo "$(if $(findstring $@,$(TARGETS) $(EXTRA_TARGETS)),$(eval P_OFFSET = 10))$(call P_UPDATE)$(call P_SHOW) Building $@";
361 Q = @
362 S = -s
363 else
364 P_SHOW = ->
365 P =
366 Q =
367 S =
368 endif
369
370 $(eval $(call add_include_file,kernel/yosys.h))
371 $(eval $(call add_include_file,kernel/hashlib.h))
372 $(eval $(call add_include_file,kernel/log.h))
373 $(eval $(call add_include_file,kernel/rtlil.h))
374 $(eval $(call add_include_file,kernel/register.h))
375 $(eval $(call add_include_file,kernel/celltypes.h))
376 $(eval $(call add_include_file,kernel/celledges.h))
377 $(eval $(call add_include_file,kernel/consteval.h))
378 $(eval $(call add_include_file,kernel/sigtools.h))
379 $(eval $(call add_include_file,kernel/modtools.h))
380 $(eval $(call add_include_file,kernel/macc.h))
381 $(eval $(call add_include_file,kernel/utils.h))
382 $(eval $(call add_include_file,kernel/satgen.h))
383 $(eval $(call add_include_file,libs/ezsat/ezsat.h))
384 $(eval $(call add_include_file,libs/ezsat/ezminisat.h))
385 $(eval $(call add_include_file,libs/sha1/sha1.h))
386 $(eval $(call add_include_file,passes/fsm/fsmdata.h))
387 $(eval $(call add_include_file,frontends/ast/ast.h))
388 $(eval $(call add_include_file,backends/ilang/ilang_backend.h))
389
390 OBJS += kernel/driver.o kernel/register.o kernel/rtlil.o kernel/log.o kernel/calc.o kernel/yosys.o
391 OBJS += kernel/cellaigs.o kernel/celledges.o
392
393 kernel/log.o: CXXFLAGS += -DYOSYS_SRC='"$(YOSYS_SRC)"'
394 kernel/yosys.o: CXXFLAGS += -DYOSYS_DATDIR='"$(DATDIR)"'
395
396 OBJS += libs/bigint/BigIntegerAlgorithms.o libs/bigint/BigInteger.o libs/bigint/BigIntegerUtils.o
397 OBJS += libs/bigint/BigUnsigned.o libs/bigint/BigUnsignedInABase.o
398
399 OBJS += libs/sha1/sha1.o
400
401 ifneq ($(SMALL),1)
402
403 OBJS += libs/subcircuit/subcircuit.o
404
405 OBJS += libs/ezsat/ezsat.o
406 OBJS += libs/ezsat/ezminisat.o
407
408 OBJS += libs/minisat/Options.o
409 OBJS += libs/minisat/SimpSolver.o
410 OBJS += libs/minisat/Solver.o
411 OBJS += libs/minisat/System.o
412
413 include $(YOSYS_SRC)/frontends/*/Makefile.inc
414 include $(YOSYS_SRC)/passes/*/Makefile.inc
415 include $(YOSYS_SRC)/backends/*/Makefile.inc
416 include $(YOSYS_SRC)/techlibs/*/Makefile.inc
417
418 else
419
420 include frontends/verilog/Makefile.inc
421 include frontends/ilang/Makefile.inc
422 include frontends/ast/Makefile.inc
423 include frontends/blif/Makefile.inc
424
425 OBJS += passes/hierarchy/hierarchy.o
426 OBJS += passes/cmds/select.o
427 OBJS += passes/cmds/show.o
428 OBJS += passes/cmds/stat.o
429 OBJS += passes/cmds/cover.o
430 OBJS += passes/cmds/design.o
431 OBJS += passes/cmds/plugin.o
432
433 include passes/proc/Makefile.inc
434 include passes/opt/Makefile.inc
435 include passes/techmap/Makefile.inc
436
437 include backends/verilog/Makefile.inc
438 include backends/ilang/Makefile.inc
439
440 include techlibs/common/Makefile.inc
441
442 endif
443
444 ifeq ($(LINK_ABC),1)
445 OBJS += yosys-libabc.a
446 endif
447
448 top-all: $(TARGETS) $(EXTRA_TARGETS)
449 @echo ""
450 @echo " Build successful."
451 @echo ""
452
453 ifeq ($(CONFIG),emcc)
454 yosys.js: $(filter-out yosysjs-$(YOSYS_VER).zip,$(EXTRA_TARGETS))
455 endif
456
457 yosys$(EXE): $(OBJS)
458 $(P) $(LD) -o yosys$(EXE) $(LDFLAGS) $(OBJS) $(LDLIBS)
459
460 libyosys.so: $(filter-out kernel/driver.o,$(OBJS))
461 $(P) $(LD) -o libyosys.so -shared -Wl,-soname,libyosys.so $(LDFLAGS) $^ $(LDLIBS)
462
463 %.o: %.cc
464 $(Q) mkdir -p $(dir $@)
465 $(P) $(CXX) -o $@ -c $(CPPFLAGS) $(CXXFLAGS) $<
466
467 %.o: %.cpp
468 $(Q) mkdir -p $(dir $@)
469 $(P) $(CXX) -o $@ -c $(CPPFLAGS) $(CXXFLAGS) $<
470
471 YOSYS_VER_STR := Yosys $(YOSYS_VER) (git sha1 $(GIT_REV), $(notdir $(CXX)) $(shell \
472 $(CXX) --version | tr ' ()' '\n' | grep '^[0-9]' | head -n1) $(filter -f% -m% -O% -DNDEBUG,$(CXXFLAGS)))
473
474 kernel/version_$(GIT_REV).cc: $(YOSYS_SRC)/Makefile
475 $(P) rm -f kernel/version_*.o kernel/version_*.d kernel/version_*.cc
476 $(Q) mkdir -p kernel && echo "namespace Yosys { extern const char *yosys_version_str; const char *yosys_version_str=\"$(YOSYS_VER_STR)\"; }" > kernel/version_$(GIT_REV).cc
477
478 ifeq ($(ENABLE_VERIFIC),1)
479 CXXFLAGS_NOVERIFIC = $(foreach v,$(CXXFLAGS),$(if $(findstring $(VERIFIC_DIR),$(v)),,$(v)))
480 LDLIBS_NOVERIFIC = $(foreach v,$(LDLIBS),$(if $(findstring $(VERIFIC_DIR),$(v)),,$(v)))
481 else
482 CXXFLAGS_NOVERIFIC = $(CXXFLAGS)
483 LDLIBS_NOVERIFIC = $(LDLIBS)
484 endif
485
486 yosys-config: misc/yosys-config.in
487 $(P) $(SED) -e 's#@CXXFLAGS@#$(subst -I. -I"$(YOSYS_SRC)",-I"$(DATDIR)/include",$(strip $(CXXFLAGS_NOVERIFIC)))#;' \
488 -e 's#@CXX@#$(strip $(CXX))#;' -e 's#@LDFLAGS@#$(strip $(LDFLAGS) $(PLUGIN_LDFLAGS))#;' -e 's#@LDLIBS@#$(strip $(LDLIBS_NOVERIFIC))#;' \
489 -e 's#@BINDIR@#$(strip $(BINDIR))#;' -e 's#@DATDIR@#$(strip $(DATDIR))#;' < $< > yosys-config
490 $(Q) chmod +x yosys-config
491
492 abc/abc-$(ABCREV)$(EXE) abc/libabc-$(ABCREV).a:
493 $(P)
494 ifneq ($(ABCREV),default)
495 $(Q) if test -d abc/.hg; then \
496 echo 'REEBE: NOP qverpgbel vf n ut jbexvat pbcl! Erzbir nop/ naq er-eha "znxr".' | tr 'A-Za-z' 'N-ZA-Mn-za-m'; false; \
497 fi
498 $(Q) if ( cd abc 2> /dev/null && ! git diff-index --quiet HEAD; ); then \
499 echo 'REEBE: NOP pbagnvaf ybpny zbqvsvpngvbaf! Frg NOPERI=qrsnhyg va Lbflf Znxrsvyr!' | tr 'A-Za-z' 'N-ZA-Mn-za-m'; false; \
500 fi
501 $(Q) if test "`cd abc 2> /dev/null && git rev-parse --short HEAD`" != "$(ABCREV)"; then \
502 test $(ABCPULL) -ne 0 || { echo 'REEBE: NOP abg hc gb qngr naq NOPCHYY frg gb 0 va Znxrsvyr!' | tr 'A-Za-z' 'N-ZA-Mn-za-m'; exit 1; }; \
503 echo "Pulling ABC from $(ABCURL):"; set -x; \
504 test -d abc || git clone $(ABCURL) abc; \
505 cd abc && $(MAKE) DEP= clean && git fetch origin master && git checkout $(ABCREV); \
506 fi
507 endif
508 $(Q) rm -f abc/abc-[0-9a-f]*
509 $(Q) cd abc && $(MAKE) $(S) $(ABCMKARGS) $(if $(filter %.a,$@),PROG="abc-$(ABCREV)",PROG="abc-$(ABCREV)$(EXE)") MSG_PREFIX="$(eval P_OFFSET = 5)$(call P_SHOW)$(eval P_OFFSET = 10) ABC: " $(if $(filter %.a,$@),libabc-$(ABCREV).a)
510
511 ifeq ($(ABCREV),default)
512 .PHONY: abc/abc-$(ABCREV)$(EXE)
513 .PHONY: abc/libabc-$(ABCREV).a
514 endif
515
516 yosys-abc$(EXE): abc/abc-$(ABCREV)$(EXE)
517 $(P) cp abc/abc-$(ABCREV)$(EXE) yosys-abc$(EXE)
518
519 yosys-libabc.a: abc/libabc-$(ABCREV).a
520 $(P) cp abc/libabc-$(ABCREV).a yosys-libabc.a
521
522 ifneq ($(SEED),)
523 SEEDOPT="-S $(SEED)"
524 else
525 SEEDOPT=""
526 endif
527
528 test: $(TARGETS) $(EXTRA_TARGETS)
529 +cd tests/simple && bash run-test.sh $(SEEDOPT)
530 +cd tests/hana && bash run-test.sh $(SEEDOPT)
531 +cd tests/asicworld && bash run-test.sh $(SEEDOPT)
532 +cd tests/realmath && bash run-test.sh $(SEEDOPT)
533 +cd tests/share && bash run-test.sh $(SEEDOPT)
534 +cd tests/fsm && bash run-test.sh $(SEEDOPT)
535 +cd tests/techmap && bash run-test.sh
536 +cd tests/memories && bash run-test.sh $(SEEDOPT)
537 +cd tests/bram && bash run-test.sh $(SEEDOPT)
538 +cd tests/various && bash run-test.sh
539 +cd tests/sat && bash run-test.sh
540 @echo ""
541 @echo " Passed \"make test\"."
542 @echo ""
543
544 VALGRIND ?= valgrind --error-exitcode=1 --leak-check=full --show-reachable=yes --errors-for-leak-kinds=all
545
546 vgtest: $(TARGETS) $(EXTRA_TARGETS)
547 $(VALGRIND) ./yosys -p 'setattr -mod -unset top; synth' $$( ls tests/simple/*.v | grep -v repwhile.v )
548 @echo ""
549 @echo " Passed \"make vgtest\"."
550 @echo ""
551
552 vloghtb: $(TARGETS) $(EXTRA_TARGETS)
553 +cd tests/vloghtb && bash run-test.sh
554 @echo ""
555 @echo " Passed \"make vloghtb\"."
556 @echo ""
557
558 # Unit test
559 unit-test: libyosys.so
560 @$(MAKE) -C $(UNITESTPATH) CXX="$(CXX)" CPPFLAGS="$(CPPFLAGS)" \
561 CXXFLAGS="$(CXXFLAGS)" LDLIBS="$(LDLIBS)" ROOTPATH="$(CURDIR)"
562
563 clean-unit-test:
564 @$(MAKE) -C $(UNITESTPATH) clean
565
566 install: $(TARGETS) $(EXTRA_TARGETS)
567 $(INSTALL_SUDO) mkdir -p $(DESTDIR)$(BINDIR)
568 $(INSTALL_SUDO) cp $(TARGETS) $(DESTDIR)$(BINDIR)
569 ifneq ($(filter yosys,$(TARGETS)),)
570 $(INSTALL_SUDO) $(STRIP) -S $(DESTDIR)$(BINDIR)/yosys
571 endif
572 ifneq ($(filter yosys-abc,$(TARGETS)),)
573 $(INSTALL_SUDO) $(STRIP) $(DESTDIR)$(BINDIR)/yosys-abc
574 endif
575 ifneq ($(filter yosys-filterlib,$(TARGETS)),)
576 $(INSTALL_SUDO) $(STRIP) $(DESTDIR)$(BINDIR)/yosys-filterlib
577 endif
578 $(INSTALL_SUDO) mkdir -p $(DESTDIR)$(DATDIR)
579 $(INSTALL_SUDO) cp -r share/. $(DESTDIR)$(DATDIR)/.
580 ifeq ($(ENABLE_LIBYOSYS),1)
581 $(INSTALL_SUDO) cp libyosys.so $(DESTDIR)$(LIBDIR)
582 $(INSTALL_SUDO) $(STRIP) -S $(DESTDIR)$(LIBDIR)/libyosys.so
583 $(INSTALL_SUDO) ldconfig
584 endif
585
586 uninstall:
587 $(INSTALL_SUDO) rm -vf $(addprefix $(DESTDIR)$(BINDIR)/,$(notdir $(TARGETS)))
588 $(INSTALL_SUDO) rm -rvf $(DESTDIR)$(DATDIR)
589 ifeq ($(ENABLE_LIBYOSYS),1)
590 $(INSTALL_SUDO) rm -vf $(DESTDIR)$(LIBDIR)/libyosys.so
591 endif
592
593 update-manual: $(TARGETS) $(EXTRA_TARGETS)
594 cd manual && ../yosys -p 'help -write-tex-command-reference-manual'
595
596 manual: $(TARGETS) $(EXTRA_TARGETS)
597 cd manual && bash appnotes.sh
598 cd manual && bash presentation.sh
599 cd manual && bash manual.sh
600
601 clean:
602 rm -rf share
603 if test -d manual; then cd manual && sh clean.sh; fi
604 rm -f $(OBJS) $(GENFILES) $(TARGETS) $(EXTRA_TARGETS) $(EXTRA_OBJS)
605 rm -f kernel/version_*.o kernel/version_*.cc abc/abc-[0-9a-f]* abc/libabc-[0-9a-f]*.a
606 rm -f libs/*/*.d frontends/*/*.d passes/*/*.d backends/*/*.d kernel/*.d techlibs/*/*.d
607 rm -rf tests/asicworld/*.out tests/asicworld/*.log
608 rm -rf tests/hana/*.out tests/hana/*.log
609 rm -rf tests/simple/*.out tests/simple/*.log
610 rm -rf tests/memories/*.out tests/memories/*.log tests/memories/*.dmp
611 rm -rf tests/sat/*.log tests/techmap/*.log tests/various/*.log
612 rm -rf tests/bram/temp tests/fsm/temp tests/realmath/temp tests/share/temp tests/smv/temp
613 rm -rf vloghtb/Makefile vloghtb/refdat vloghtb/rtl vloghtb/scripts vloghtb/spec vloghtb/check_yosys vloghtb/vloghammer_tb.tar.bz2 vloghtb/temp vloghtb/log_test_*
614 rm -f tests/tools/cmp_tbdata
615
616 clean-abc:
617 $(MAKE) -C abc DEP= clean
618 rm -f yosys-abc$(EXE) yosys-libabc.a abc/abc-[0-9a-f]* abc/libabc-[0-9a-f]*.a
619
620 mrproper: clean
621 git clean -xdf
622
623 qtcreator:
624 { for file in $(basename $(OBJS)); do \
625 for prefix in cc y l; do if [ -f $${file}.$${prefix} ]; then echo $$file.$${prefix}; fi; done \
626 done; find backends frontends kernel libs passes -type f \( -name '*.h' -o -name '*.hh' \); } > qtcreator.files
627 { echo .; find backends frontends kernel libs passes -type f \( -name '*.h' -o -name '*.hh' \) -printf '%h\n' | sort -u; } > qtcreator.includes
628 touch qtcreator.config qtcreator.creator
629
630 vcxsrc: $(GENFILES) $(EXTRA_TARGETS)
631 rm -rf yosys-win32-vcxsrc-$(YOSYS_VER){,.zip}
632 set -e; for f in `ls $(filter %.cc %.cpp,$(GENFILES)) $(addsuffix .cc,$(basename $(OBJS))) $(addsuffix .cpp,$(basename $(OBJS))) 2> /dev/null`; do \
633 echo "Analyse: $$f" >&2; cpp -std=c++11 -MM -I. -D_YOSYS_ $$f; done | sed 's,.*:,,; s,//*,/,g; s,/[^/]*/\.\./,/,g; y, \\,\n\n,;' | grep '^[^/]' | sort -u | grep -v kernel/version_ > srcfiles.txt
634 bash misc/create_vcxsrc.sh yosys-win32-vcxsrc $(YOSYS_VER) $(GIT_REV)
635 echo "namespace Yosys { extern const char *yosys_version_str; const char *yosys_version_str=\"Yosys (Version Information Unavailable)\"; }" > kernel/version.cc
636 zip yosys-win32-vcxsrc-$(YOSYS_VER)/genfiles.zip $(GENFILES) kernel/version.cc
637 zip -r yosys-win32-vcxsrc-$(YOSYS_VER).zip yosys-win32-vcxsrc-$(YOSYS_VER)/
638 rm -f srcfiles.txt kernel/version.cc
639
640 ifeq ($(CONFIG),mxe)
641 mxebin: $(TARGETS) $(EXTRA_TARGETS)
642 rm -rf yosys-win32-mxebin-$(YOSYS_VER){,.zip}
643 mkdir -p yosys-win32-mxebin-$(YOSYS_VER)
644 cp -r yosys.exe share/ yosys-win32-mxebin-$(YOSYS_VER)/
645 ifeq ($(ENABLE_ABC),1)
646 cp -r yosys-abc.exe abc/lib/x86/pthreadVC2.dll yosys-win32-mxebin-$(YOSYS_VER)/
647 endif
648 echo -en 'This is Yosys $(YOSYS_VER) for Win32.\r\n' > yosys-win32-mxebin-$(YOSYS_VER)/readme.txt
649 echo -en 'Documentation at http://www.clifford.at/yosys/.\r\n' >> yosys-win32-mxebin-$(YOSYS_VER)/readme.txt
650 zip -r yosys-win32-mxebin-$(YOSYS_VER).zip yosys-win32-mxebin-$(YOSYS_VER)/
651 endif
652
653 config-clean: clean
654 rm -f Makefile.conf
655
656 config-clang: clean
657 echo 'CONFIG := clang' > Makefile.conf
658
659 config-gcc: clean
660 echo 'CONFIG := gcc' > Makefile.conf
661
662 config-gcc-4.8: clean
663 echo 'CONFIG := gcc-4.8' > Makefile.conf
664
665 config-emcc: clean
666 echo 'CONFIG := emcc' > Makefile.conf
667 echo 'ENABLE_TCL := 0' >> Makefile.conf
668 echo 'ENABLE_ABC := 0' >> Makefile.conf
669 echo 'ENABLE_PLUGINS := 0' >> Makefile.conf
670 echo 'ENABLE_READLINE := 0' >> Makefile.conf
671
672 config-mxe: clean
673 echo 'CONFIG := mxe' > Makefile.conf
674 echo 'ENABLE_PLUGINS := 0' >> Makefile.conf
675
676 config-msys2: clean
677 echo 'CONFIG := msys2' > Makefile.conf
678
679 config-gprof: clean
680 echo 'CONFIG := gcc' > Makefile.conf
681 echo 'ENABLE_GPROF := 1' >> Makefile.conf
682
683 config-sudo:
684 echo "INSTALL_SUDO := sudo" >> Makefile.conf
685
686 echo-yosys-ver:
687 @echo "$(YOSYS_VER)"
688
689 echo-git-rev:
690 @echo "$(GIT_REV)"
691
692 -include libs/*/*.d
693 -include frontends/*/*.d
694 -include passes/*/*.d
695 -include backends/*/*.d
696 -include kernel/*.d
697 -include techlibs/*/*.d
698
699 .PHONY: all top-all abc test install install-abc manual clean mrproper qtcreator
700 .PHONY: config-clean config-clang config-gcc config-gcc-4.8 config-gprof config-sudo
701