Merge pull request #2398 from jakobwenzel/smtbmc-escape
[yosys.git] / Makefile
1
2 CONFIG := clang
3 # CONFIG := gcc
4 # CONFIG := gcc-4.8
5 # CONFIG := afl-gcc
6 # CONFIG := emcc
7 # CONFIG := wasi
8 # CONFIG := mxe
9 # CONFIG := msys2
10 # CONFIG := msys2-64
11
12 # features (the more the better)
13 ENABLE_TCL := 1
14 ENABLE_ABC := 1
15 ENABLE_GLOB := 1
16 ENABLE_PLUGINS := 1
17 ENABLE_READLINE := 1
18 ENABLE_EDITLINE := 0
19 ENABLE_VERIFIC := 0
20 ENABLE_COVER := 1
21 ENABLE_LIBYOSYS := 0
22 ENABLE_PROTOBUF := 0
23 ENABLE_ZLIB := 1
24
25 # python wrappers
26 ENABLE_PYOSYS := 0
27
28 # other configuration flags
29 ENABLE_GCOV := 0
30 ENABLE_GPROF := 0
31 ENABLE_DEBUG := 0
32 ENABLE_NDEBUG := 0
33 ENABLE_CCACHE := 0
34 LINK_CURSES := 0
35 LINK_TERMCAP := 0
36 LINK_ABC := 0
37 # Needed for environments that can't run executables (i.e. emscripten, wasm)
38 DISABLE_SPAWN := 0
39 # Needed for environments that don't have proper thread support (i.e. emscripten, wasm--for now)
40 DISABLE_ABC_THREADS := 0
41
42 # clang sanitizers
43 SANITIZER =
44 # SANITIZER = address
45 # SANITIZER = memory
46 # SANITIZER = undefined
47 # SANITIZER = cfi
48
49 PROGRAM_PREFIX :=
50
51 OS := $(shell uname -s)
52 PREFIX ?= /usr/local
53 INSTALL_SUDO :=
54
55 ifneq ($(wildcard Makefile.conf),)
56 include Makefile.conf
57 endif
58
59 ifeq ($(ENABLE_PYOSYS),1)
60 ENABLE_LIBYOSYS := 1
61 endif
62
63 BINDIR := $(PREFIX)/bin
64 LIBDIR := $(PREFIX)/lib/$(PROGRAM_PREFIX)yosys
65 DATDIR := $(PREFIX)/share/$(PROGRAM_PREFIX)yosys
66
67 EXE =
68 OBJS =
69 GENFILES =
70 EXTRA_OBJS =
71 EXTRA_TARGETS =
72 TARGETS = $(PROGRAM_PREFIX)yosys$(EXE) $(PROGRAM_PREFIX)yosys-config
73
74 PRETTY = 1
75 SMALL = 0
76
77 # Unit test
78 UNITESTPATH := tests/unit
79
80 all: top-all
81
82 YOSYS_SRC := $(dir $(firstword $(MAKEFILE_LIST)))
83 VPATH := $(YOSYS_SRC)
84
85 CXXFLAGS := $(CXXFLAGS) -Wall -Wextra -ggdb -I. -I"$(YOSYS_SRC)" -MD -MP -D_YOSYS_ -fPIC -I$(PREFIX)/include
86 LDLIBS := $(LDLIBS) -lstdc++ -lm
87 PLUGIN_LDFLAGS :=
88
89 PKG_CONFIG ?= pkg-config
90 SED ?= sed
91 BISON ?= bison
92 STRIP ?= strip
93 AWK ?= awk
94
95 ifeq ($(OS), Darwin)
96 PLUGIN_LDFLAGS += -undefined dynamic_lookup
97
98 # homebrew search paths
99 ifneq ($(shell :; command -v brew),)
100 BREW_PREFIX := $(shell brew --prefix)/opt
101 $(info $$BREW_PREFIX is [${BREW_PREFIX}])
102 ifeq ($(ENABLE_PYOSYS),1)
103 CXXFLAGS += -I$(BREW_PREFIX)/boost/include/boost
104 LDFLAGS += -L$(BREW_PREFIX)/boost/lib
105 endif
106 CXXFLAGS += -I$(BREW_PREFIX)/readline/include
107 LDFLAGS += -L$(BREW_PREFIX)/readline/lib
108 PKG_CONFIG_PATH := $(BREW_PREFIX)/libffi/lib/pkgconfig:$(PKG_CONFIG_PATH)
109 PKG_CONFIG_PATH := $(BREW_PREFIX)/tcl-tk/lib/pkgconfig:$(PKG_CONFIG_PATH)
110 export PATH := $(BREW_PREFIX)/bison/bin:$(BREW_PREFIX)/gettext/bin:$(BREW_PREFIX)/flex/bin:$(PATH)
111
112 # macports search paths
113 else ifneq ($(shell :; command -v port),)
114 PORT_PREFIX := $(patsubst %/bin/port,%,$(shell :; command -v port))
115 CXXFLAGS += -I$(PORT_PREFIX)/include
116 LDFLAGS += -L$(PORT_PREFIX)/lib
117 PKG_CONFIG_PATH := $(PORT_PREFIX)/lib/pkgconfig:$(PKG_CONFIG_PATH)
118 export PATH := $(PORT_PREFIX)/bin:$(PATH)
119 endif
120
121 else
122 LDFLAGS += -rdynamic
123 LDLIBS += -lrt
124 endif
125
126 YOSYS_VER := 0.9+3621
127 GIT_REV := $(shell cd $(YOSYS_SRC) && git rev-parse --short HEAD 2> /dev/null || echo UNKNOWN)
128 OBJS = kernel/version_$(GIT_REV).o
129
130 bumpversion:
131 sed -i "/^YOSYS_VER := / s/+[0-9][0-9]*$$/+`git log --oneline 8a4c6e6.. | wc -l`/;" Makefile
132
133 # set 'ABCREV = default' to use abc/ as it is
134 #
135 # Note: If you do ABC development, make sure that 'abc' in this directory
136 # is just a symlink to your actual ABC working directory, as 'make mrproper'
137 # will remove the 'abc' directory and you do not want to accidentally
138 # delete your work on ABC..
139 ABCREV = 341db25
140 ABCPULL = 1
141 ABCURL ?= https://github.com/YosysHQ/abc
142 ABCMKARGS = CC="$(CXX)" CXX="$(CXX)" ABC_USE_LIBSTDCXX=1
143
144 # set ABCEXTERNAL = <abc-command> to use an external ABC instance
145 # Note: The in-tree ABC (yosys-abc) will not be installed when ABCEXTERNAL is set.
146 ABCEXTERNAL ?=
147
148 define newline
149
150
151 endef
152
153 ifneq ($(wildcard Makefile.conf),)
154 # don't echo Makefile.conf contents when invoked to print source versions
155 ifeq ($(findstring echo-,$(MAKECMDGOALS)),)
156 $(info $(subst $$--$$,$(newline),$(shell sed 's,^,[Makefile.conf] ,; s,$$,$$--$$,;' < Makefile.conf | tr -d '\n' | sed 's,\$$--\$$$$,,')))
157 endif
158 include Makefile.conf
159 endif
160
161 PYTHON_EXECUTABLE := $(shell if python3 -c ""; then echo "python3"; else echo "python"; fi)
162 ifeq ($(ENABLE_PYOSYS),1)
163 PYTHON_VERSION_TESTCODE := "import sys;t='{v[0]}.{v[1]}'.format(v=list(sys.version_info[:2]));print(t)"
164 PYTHON_VERSION := $(shell $(PYTHON_EXECUTABLE) -c ""$(PYTHON_VERSION_TESTCODE)"")
165 PYTHON_MAJOR_VERSION := $(shell echo $(PYTHON_VERSION) | cut -f1 -d.)
166
167 ENABLE_PYTHON_CONFIG_EMBED ?= $(shell $(PYTHON_EXECUTABLE)-config --embed --libs > /dev/null && echo 1)
168 ifeq ($(ENABLE_PYTHON_CONFIG_EMBED),1)
169 PYTHON_CONFIG := $(PYTHON_EXECUTABLE)-config --embed
170 else
171 PYTHON_CONFIG := $(PYTHON_EXECUTABLE)-config
172 endif
173
174 PYTHON_DESTDIR := $(shell $(PYTHON_EXECUTABLE) -c "import site; print(site.getsitepackages()[-1]);")
175
176 # Reload Makefile.conf to override python specific variables if defined
177 ifneq ($(wildcard Makefile.conf),)
178 include Makefile.conf
179 endif
180
181 endif
182
183 ifeq ($(CONFIG),clang)
184 CXX = clang
185 LD = clang++
186 CXXFLAGS += -std=c++11 -Os
187 ABCMKARGS += ARCHFLAGS="-DABC_USE_STDINT_H"
188
189 ifneq ($(SANITIZER),)
190 $(info [Clang Sanitizer] $(SANITIZER))
191 CXXFLAGS += -g -O1 -fno-omit-frame-pointer -fno-optimize-sibling-calls -fsanitize=$(SANITIZER)
192 LDFLAGS += -g -fsanitize=$(SANITIZER)
193 ifeq ($(SANITIZER),address)
194 ENABLE_COVER := 0
195 endif
196 ifeq ($(SANITIZER),memory)
197 CXXFLAGS += -fPIE -fsanitize-memory-track-origins
198 LDFLAGS += -fPIE -fsanitize-memory-track-origins
199 endif
200 ifeq ($(SANITIZER),cfi)
201 CXXFLAGS += -flto
202 LDFLAGS += -flto
203 endif
204 endif
205
206 else ifeq ($(CONFIG),gcc)
207 CXX = gcc
208 LD = gcc
209 CXXFLAGS += -std=c++11 -Os
210 ABCMKARGS += ARCHFLAGS="-DABC_USE_STDINT_H"
211
212 else ifeq ($(CONFIG),gcc-static)
213 LD = $(CXX)
214 LDFLAGS := $(filter-out -rdynamic,$(LDFLAGS)) -static
215 LDLIBS := $(filter-out -lrt,$(LDLIBS))
216 CXXFLAGS := $(filter-out -fPIC,$(CXXFLAGS))
217 CXXFLAGS += -std=c++11 -Os
218 ABCMKARGS = CC="$(CC)" CXX="$(CXX)" LD="$(LD)" ABC_USE_LIBSTDCXX=1 LIBS="-lm -lpthread -static" OPTFLAGS="-O" \
219 ARCHFLAGS="-DABC_USE_STDINT_H -DABC_NO_DYNAMIC_LINKING=1 -Wno-unused-but-set-variable $(ARCHFLAGS)" ABC_USE_NO_READLINE=1
220 ifeq ($(DISABLE_ABC_THREADS),1)
221 ABCMKARGS += "ABC_USE_NO_PTHREADS=1"
222 endif
223
224 else ifeq ($(CONFIG),gcc-4.8)
225 CXX = gcc-4.8
226 LD = gcc-4.8
227 CXXFLAGS += -std=c++11 -Os
228 ABCMKARGS += ARCHFLAGS="-DABC_USE_STDINT_H"
229
230 else ifeq ($(CONFIG),afl-gcc)
231 CXX = AFL_QUIET=1 AFL_HARDEN=1 afl-gcc
232 LD = AFL_QUIET=1 AFL_HARDEN=1 afl-gcc
233 CXXFLAGS += -std=c++11 -Os
234 ABCMKARGS += ARCHFLAGS="-DABC_USE_STDINT_H"
235
236 else ifeq ($(CONFIG),cygwin)
237 CXX = gcc
238 LD = gcc
239 CXXFLAGS += -std=gnu++11 -Os
240 ABCMKARGS += ARCHFLAGS="-DABC_USE_STDINT_H"
241
242 else ifeq ($(CONFIG),emcc)
243 CXX = emcc
244 LD = emcc
245 CXXFLAGS := -std=c++11 $(filter-out -fPIC -ggdb,$(CXXFLAGS))
246 ABCMKARGS += ARCHFLAGS="-DABC_USE_STDINT_H -DABC_MEMALIGN=8"
247 EMCCFLAGS := -Os -Wno-warn-absolute-paths
248 EMCCFLAGS += --memory-init-file 0 --embed-file share -s NO_EXIT_RUNTIME=1
249 EMCCFLAGS += -s EXPORTED_FUNCTIONS="['_main','_run','_prompt','_errmsg','_memset']"
250 EMCCFLAGS += -s TOTAL_MEMORY=134217728
251 EMCCFLAGS += -s EXTRA_EXPORTED_RUNTIME_METHODS='["ccall", "cwrap"]'
252 # https://github.com/kripken/emscripten/blob/master/src/settings.js
253 CXXFLAGS += $(EMCCFLAGS)
254 LDFLAGS += $(EMCCFLAGS)
255 LDLIBS =
256 EXE = .js
257
258 DISABLE_SPAWN := 1
259
260 TARGETS := $(filter-out $(PROGRAM_PREFIX)yosys-config,$(TARGETS))
261 EXTRA_TARGETS += yosysjs-$(YOSYS_VER).zip
262
263 ifeq ($(ENABLE_ABC),1)
264 LINK_ABC := 1
265 DISABLE_ABC_THREADS := 1
266 endif
267
268 viz.js:
269 wget -O viz.js.part https://github.com/mdaines/viz.js/releases/download/0.0.3/viz.js
270 mv viz.js.part viz.js
271
272 yosysjs-$(YOSYS_VER).zip: yosys.js yosys.wasm viz.js misc/yosysjs/*
273 rm -rf yosysjs-$(YOSYS_VER) yosysjs-$(YOSYS_VER).zip
274 mkdir -p yosysjs-$(YOSYS_VER)
275 cp viz.js misc/yosysjs/* yosys.js yosys.wasm yosysjs-$(YOSYS_VER)/
276 zip -r yosysjs-$(YOSYS_VER).zip yosysjs-$(YOSYS_VER)
277
278 yosys.html: misc/yosys.html
279 $(P) cp misc/yosys.html yosys.html
280
281 else ifeq ($(CONFIG),wasi)
282 ifeq ($(WASI_SDK),)
283 CXX = clang
284 LD = clang++
285 AR = llvm-ar
286 RANLIB = llvm-ranlib
287 WASIFLAGS := -target wasm32-wasi --sysroot $(WASI_SYSROOT) $(WASIFLAGS)
288 else
289 CXX = $(WASI_SDK)/bin/clang
290 LD = $(WASI_SDK)/bin/clang++
291 AR = $(WASI_SDK)/bin/ar
292 RANLIB = $(WASI_SDK)/bin/ranlib
293 WASIFLAGS := --sysroot $(WASI_SDK)/share/wasi-sysroot $(WASIFLAGS)
294 endif
295 CXXFLAGS := $(WASIFLAGS) -std=c++11 -Os $(filter-out -fPIC,$(CXXFLAGS))
296 LDFLAGS := $(WASIFLAGS) -Wl,-z,stack-size=1048576 $(filter-out -rdynamic,$(LDFLAGS))
297 LDLIBS := $(filter-out -lrt,$(LDLIBS))
298 ABCMKARGS += AR="$(AR)" RANLIB="$(RANLIB)"
299 ABCMKARGS += ARCHFLAGS="$(WASIFLAGS) -DABC_USE_STDINT_H -DABC_NO_DYNAMIC_LINKING"
300 ABCMKARGS += OPTFLAGS="-Os"
301 EXE = .wasm
302
303 DISABLE_SPAWN := 1
304
305 ifeq ($(ENABLE_ABC),1)
306 LINK_ABC := 1
307 DISABLE_ABC_THREADS := 1
308 endif
309
310 else ifeq ($(CONFIG),mxe)
311 PKG_CONFIG = /usr/local/src/mxe/usr/bin/i686-w64-mingw32.static-pkg-config
312 CXX = /usr/local/src/mxe/usr/bin/i686-w64-mingw32.static-g++
313 LD = /usr/local/src/mxe/usr/bin/i686-w64-mingw32.static-g++
314 CXXFLAGS += -std=c++11 -Os -D_POSIX_SOURCE -DYOSYS_MXE_HACKS -Wno-attributes
315 CXXFLAGS := $(filter-out -fPIC,$(CXXFLAGS))
316 LDFLAGS := $(filter-out -rdynamic,$(LDFLAGS)) -s
317 LDLIBS := $(filter-out -lrt,$(LDLIBS))
318 ABCMKARGS += ARCHFLAGS="-DWIN32_NO_DLL -DHAVE_STRUCT_TIMESPEC -fpermissive -w"
319 # TODO: Try to solve pthread linking issue in more appropriate way
320 ABCMKARGS += LIBS="lib/x86/pthreadVC2.lib -s" LDFLAGS="-Wl,--allow-multiple-definition" ABC_USE_NO_READLINE=1 CC="/usr/local/src/mxe/usr/bin/i686-w64-mingw32.static-gcc"
321 EXE = .exe
322
323 else ifeq ($(CONFIG),msys2)
324 CXX = i686-w64-mingw32-g++
325 LD = i686-w64-mingw32-g++
326 CXXFLAGS += -std=c++11 -Os -D_POSIX_SOURCE -DYOSYS_WIN32_UNIX_DIR
327 CXXFLAGS := $(filter-out -fPIC,$(CXXFLAGS))
328 LDFLAGS := $(filter-out -rdynamic,$(LDFLAGS)) -s
329 LDLIBS := $(filter-out -lrt,$(LDLIBS))
330 ABCMKARGS += ARCHFLAGS="-DABC_USE_STDINT_H -DWIN32_NO_DLL -DHAVE_STRUCT_TIMESPEC -fpermissive -w"
331 ABCMKARGS += LIBS="-lpthread -s" ABC_USE_NO_READLINE=0 CC="i686-w64-mingw32-gcc" CXX="$(CXX)"
332 EXE = .exe
333
334 else ifeq ($(CONFIG),msys2-64)
335 CXX = x86_64-w64-mingw32-g++
336 LD = x86_64-w64-mingw32-g++
337 CXXFLAGS += -std=c++11 -Os -D_POSIX_SOURCE -DYOSYS_WIN32_UNIX_DIR
338 CXXFLAGS := $(filter-out -fPIC,$(CXXFLAGS))
339 LDFLAGS := $(filter-out -rdynamic,$(LDFLAGS)) -s
340 LDLIBS := $(filter-out -lrt,$(LDLIBS))
341 ABCMKARGS += ARCHFLAGS="-DABC_USE_STDINT_H -DWIN32_NO_DLL -DHAVE_STRUCT_TIMESPEC -fpermissive -w"
342 ABCMKARGS += LIBS="-lpthread -s" ABC_USE_NO_READLINE=0 CC="x86_64-w64-mingw32-gcc" CXX="$(CXX)"
343 EXE = .exe
344
345 else ifneq ($(CONFIG),none)
346 $(error Invalid CONFIG setting '$(CONFIG)'. Valid values: clang, gcc, gcc-4.8, emcc, mxe, msys2, msys2-64)
347 endif
348
349 ifeq ($(ENABLE_LIBYOSYS),1)
350 TARGETS += libyosys.so
351 endif
352
353 ifeq ($(ENABLE_PYOSYS),1)
354
355 #Detect name of boost_python library. Some distros usbe boost_python-py<version>, other boost_python<version>, some only use the major version number, some a concatenation of major and minor version numbers
356 ifeq ($(OS), Darwin)
357 BOOST_PYTHON_LIB ?= $(shell \
358 if echo "int main(int argc, char ** argv) {return 0;}" | $(CXX) -xc -o /dev/null $(shell $(PYTHON_CONFIG) --ldflags) -lboost_python-py$(subst .,,$(PYTHON_VERSION)) - > /dev/null 2>&1; then echo "-lboost_python-py$(subst .,,$(PYTHON_VERSION))"; else \
359 if echo "int main(int argc, char ** argv) {return 0;}" | $(CXX) -xc -o /dev/null $(shell $(PYTHON_CONFIG) --ldflags) -lboost_python-py$(subst .,,$(PYTHON_MAJOR_VERSION)) - > /dev/null 2>&1; then echo "-lboost_python-py$(subst .,,$(PYTHON_MAJOR_VERSION))"; else \
360 if echo "int main(int argc, char ** argv) {return 0;}" | $(CXX) -xc -o /dev/null $(shell $(PYTHON_CONFIG) --ldflags) -lboost_python$(subst .,,$(PYTHON_VERSION)) - > /dev/null 2>&1; then echo "-lboost_python$(subst .,,$(PYTHON_VERSION))"; else \
361 if echo "int main(int argc, char ** argv) {return 0;}" | $(CXX) -xc -o /dev/null $(shell $(PYTHON_CONFIG) --ldflags) -lboost_python$(subst .,,$(PYTHON_MAJOR_VERSION)) - > /dev/null 2>&1; then echo "-lboost_python$(subst .,,$(PYTHON_MAJOR_VERSION))"; else \
362 echo ""; fi; fi; fi; fi;)
363 else
364 BOOST_PYTHON_LIB ?= $(shell \
365 if echo "int main(int argc, char ** argv) {return 0;}" | $(CXX) -xc -o /dev/null `$(PYTHON_CONFIG) --libs` -lboost_python-py$(subst .,,$(PYTHON_VERSION)) - > /dev/null 2>&1; then echo "-lboost_python-py$(subst .,,$(PYTHON_VERSION))"; else \
366 if echo "int main(int argc, char ** argv) {return 0;}" | $(CXX) -xc -o /dev/null `$(PYTHON_CONFIG) --libs` -lboost_python-py$(subst .,,$(PYTHON_MAJOR_VERSION)) - > /dev/null 2>&1; then echo "-lboost_python-py$(subst .,,$(PYTHON_MAJOR_VERSION))"; else \
367 if echo "int main(int argc, char ** argv) {return 0;}" | $(CXX) -xc -o /dev/null `$(PYTHON_CONFIG) --libs` -lboost_python$(subst .,,$(PYTHON_VERSION)) - > /dev/null 2>&1; then echo "-lboost_python$(subst .,,$(PYTHON_VERSION))"; else \
368 if echo "int main(int argc, char ** argv) {return 0;}" | $(CXX) -xc -o /dev/null `$(PYTHON_CONFIG) --libs` -lboost_python$(subst .,,$(PYTHON_MAJOR_VERSION)) - > /dev/null 2>&1; then echo "-lboost_python$(subst .,,$(PYTHON_MAJOR_VERSION))"; else \
369 echo ""; fi; fi; fi; fi;)
370 endif
371
372 ifeq ($(BOOST_PYTHON_LIB),)
373 $(error BOOST_PYTHON_LIB could not be detected. Please define manually)
374 endif
375
376 ifeq ($(OS), Darwin)
377 ifeq ($(PYTHON_MAJOR_VERSION),3)
378 LDLIBS += $(shell $(PYTHON_CONFIG) --ldflags) $(BOOST_PYTHON_LIB) -lboost_system -lboost_filesystem
379 CXXFLAGS += $(shell $(PYTHON_CONFIG) --includes) -DWITH_PYTHON
380 else
381 LDLIBS += $(shell $(PYTHON_CONFIG) --ldflags) $(BOOST_PYTHON_LIB) -lboost_system -lboost_filesystem
382 CXXFLAGS += $(shell $(PYTHON_CONFIG) --includes) -DWITH_PYTHON
383 endif
384 else
385 ifeq ($(PYTHON_MAJOR_VERSION),3)
386 LDLIBS += $(shell $(PYTHON_CONFIG) --libs) $(BOOST_PYTHON_LIB) -lboost_system -lboost_filesystem
387 CXXFLAGS += $(shell $(PYTHON_CONFIG) --includes) -DWITH_PYTHON
388 else
389 LDLIBS += $(shell $(PYTHON_CONFIG) --libs) $(BOOST_PYTHON_LIB) -lboost_system -lboost_filesystem
390 CXXFLAGS += $(shell $(PYTHON_CONFIG) --includes) -DWITH_PYTHON
391 endif
392 endif
393
394 ifeq ($(ENABLE_PYOSYS),1)
395 PY_WRAPPER_FILE = kernel/python_wrappers
396 OBJS += $(PY_WRAPPER_FILE).o
397 PY_GEN_SCRIPT= py_wrap_generator
398 PY_WRAP_INCLUDES := $(shell python$(PYTHON_VERSION) -c "from misc import $(PY_GEN_SCRIPT); $(PY_GEN_SCRIPT).print_includes()")
399 endif
400 endif
401
402 ifeq ($(ENABLE_READLINE),1)
403 CXXFLAGS += -DYOSYS_ENABLE_READLINE
404 ifeq ($(OS), FreeBSD)
405 CXXFLAGS += -I/usr/local/include
406 endif
407 LDLIBS += -lreadline
408 ifeq ($(LINK_CURSES),1)
409 LDLIBS += -lcurses
410 ABCMKARGS += "ABC_READLINE_LIBRARIES=-lcurses -lreadline"
411 endif
412 ifeq ($(LINK_TERMCAP),1)
413 LDLIBS += -ltermcap
414 ABCMKARGS += "ABC_READLINE_LIBRARIES=-lreadline -ltermcap"
415 endif
416 ifeq ($(CONFIG),mxe)
417 LDLIBS += -ltermcap
418 endif
419 else
420 ifeq ($(ENABLE_EDITLINE),1)
421 CXXFLAGS += -DYOSYS_ENABLE_EDITLINE
422 LDLIBS += -ledit -ltinfo -lbsd
423 else
424 ABCMKARGS += "ABC_USE_NO_READLINE=1"
425 endif
426 endif
427
428 ifeq ($(DISABLE_ABC_THREADS),1)
429 ABCMKARGS += "ABC_USE_NO_PTHREADS=1"
430 endif
431
432 ifeq ($(DISABLE_SPAWN),1)
433 CXXFLAGS += -DYOSYS_DISABLE_SPAWN
434 endif
435
436 ifeq ($(ENABLE_PLUGINS),1)
437 CXXFLAGS += $(shell PKG_CONFIG_PATH=$(PKG_CONFIG_PATH) $(PKG_CONFIG) --silence-errors --cflags libffi) -DYOSYS_ENABLE_PLUGINS
438 LDLIBS += $(shell PKG_CONFIG_PATH=$(PKG_CONFIG_PATH) $(PKG_CONFIG) --silence-errors --libs libffi || echo -lffi)
439 ifneq ($(OS), FreeBSD)
440 LDLIBS += -ldl
441 endif
442 endif
443
444 ifeq ($(ENABLE_GLOB),1)
445 CXXFLAGS += -DYOSYS_ENABLE_GLOB
446 endif
447
448 ifeq ($(ENABLE_ZLIB),1)
449 CXXFLAGS += -DYOSYS_ENABLE_ZLIB
450 LDLIBS += -lz
451 endif
452
453
454 ifeq ($(ENABLE_TCL),1)
455 TCL_VERSION ?= tcl$(shell bash -c "tclsh <(echo 'puts [info tclversion]')")
456 ifeq ($(OS), FreeBSD)
457 TCL_INCLUDE ?= /usr/local/include/$(TCL_VERSION)
458 else
459 TCL_INCLUDE ?= /usr/include/$(TCL_VERSION)
460 endif
461
462 ifeq ($(CONFIG),mxe)
463 CXXFLAGS += -DYOSYS_ENABLE_TCL
464 LDLIBS += -ltcl86 -lwsock32 -lws2_32 -lnetapi32 -lz -luserenv
465 else
466 CXXFLAGS += $(shell PKG_CONFIG_PATH=$(PKG_CONFIG_PATH) $(PKG_CONFIG) --silence-errors --cflags tcl || echo -I$(TCL_INCLUDE)) -DYOSYS_ENABLE_TCL
467 ifeq ($(OS), FreeBSD)
468 # FreeBSD uses tcl8.6, but lib is named "libtcl86"
469 LDLIBS += $(shell PKG_CONFIG_PATH=$(PKG_CONFIG_PATH) $(PKG_CONFIG) --silence-errors --libs tcl || echo -l$(TCL_VERSION) | tr -d '.')
470 else
471 LDLIBS += $(shell PKG_CONFIG_PATH=$(PKG_CONFIG_PATH) $(PKG_CONFIG) --silence-errors --libs tcl || echo -l$(TCL_VERSION))
472 endif
473 endif
474 endif
475
476 ifeq ($(ENABLE_GCOV),1)
477 CXXFLAGS += --coverage
478 LDFLAGS += --coverage
479 endif
480
481 ifeq ($(ENABLE_GPROF),1)
482 CXXFLAGS += -pg
483 LDFLAGS += -pg
484 endif
485
486 ifeq ($(ENABLE_NDEBUG),1)
487 CXXFLAGS := -O3 -DNDEBUG $(filter-out -Os -ggdb,$(CXXFLAGS))
488 endif
489
490 ifeq ($(ENABLE_DEBUG),1)
491 ifeq ($(CONFIG),clang)
492 CXXFLAGS := -O0 -DDEBUG $(filter-out -Os,$(CXXFLAGS))
493 else
494 CXXFLAGS := -Og -DDEBUG $(filter-out -Os,$(CXXFLAGS))
495 endif
496 endif
497
498 ifeq ($(ENABLE_ABC),1)
499 CXXFLAGS += -DYOSYS_ENABLE_ABC
500 ifeq ($(LINK_ABC),1)
501 CXXFLAGS += -DYOSYS_LINK_ABC
502 ifeq ($(DISABLE_ABC_THREADS),0)
503 LDLIBS += -lpthread
504 endif
505 else
506 ifeq ($(ABCEXTERNAL),)
507 TARGETS += $(PROGRAM_PREFIX)yosys-abc$(EXE)
508 endif
509 endif
510 endif
511
512 ifeq ($(ENABLE_VERIFIC),1)
513 VERIFIC_DIR ?= /usr/local/src/verific_lib
514 VERIFIC_COMPONENTS ?= verilog vhdl database util containers hier_tree
515 CXXFLAGS += $(patsubst %,-I$(VERIFIC_DIR)/%,$(VERIFIC_COMPONENTS)) -DYOSYS_ENABLE_VERIFIC
516 ifeq ($(OS), Darwin)
517 LDLIBS += $(patsubst %,$(VERIFIC_DIR)/%/*-mac.a,$(VERIFIC_COMPONENTS)) -lz
518 else
519 LDLIBS += $(patsubst %,$(VERIFIC_DIR)/%/*-linux.a,$(VERIFIC_COMPONENTS)) -lz
520 endif
521 endif
522
523 ifeq ($(ENABLE_PROTOBUF),1)
524 LDLIBS += $(shell pkg-config --cflags --libs protobuf)
525 endif
526
527 ifeq ($(ENABLE_COVER),1)
528 CXXFLAGS += -DYOSYS_ENABLE_COVER
529 endif
530
531 ifeq ($(ENABLE_CCACHE),1)
532 CXX := ccache $(CXX)
533 endif
534
535 define add_share_file
536 EXTRA_TARGETS += $(subst //,/,$(1)/$(notdir $(2)))
537 $(subst //,/,$(1)/$(notdir $(2))): $(2)
538 $$(P) mkdir -p $(1)
539 $$(Q) cp "$(YOSYS_SRC)"/$(2) $(subst //,/,$(1)/$(notdir $(2)))
540 endef
541
542 define add_gen_share_file
543 EXTRA_TARGETS += $(subst //,/,$(1)/$(notdir $(2)))
544 $(subst //,/,$(1)/$(notdir $(2))): $(2)
545 $$(P) mkdir -p $(1)
546 $$(Q) cp $(2) $(subst //,/,$(1)/$(notdir $(2)))
547 endef
548
549 define add_include_file
550 $(eval $(call add_share_file,$(dir share/include/$(1)),$(1)))
551 endef
552
553 define add_extra_objs
554 EXTRA_OBJS += $(1)
555 .SECONDARY: $(1)
556 endef
557
558 ifeq ($(PRETTY), 1)
559 P_STATUS = 0
560 P_OFFSET = 0
561 P_UPDATE = $(eval P_STATUS=$(shell echo $(OBJS) $(PROGRAM_PREFIX)yosys$(EXE) | $(AWK) 'BEGIN { RS = " "; I = $(P_STATUS)+0; } $$1 == "$@" && NR > I { I = NR; } END { print I; }'))
562 P_SHOW = [$(shell $(AWK) "BEGIN { N=$(words $(OBJS) $(PROGRAM_PREFIX)yosys$(EXE)); printf \"%3d\", $(P_OFFSET)+90*$(P_STATUS)/N; exit; }")%]
563 P = @echo "$(if $(findstring $@,$(TARGETS) $(EXTRA_TARGETS)),$(eval P_OFFSET = 10))$(call P_UPDATE)$(call P_SHOW) Building $@";
564 Q = @
565 S = -s
566 else
567 P_SHOW = ->
568 P =
569 Q =
570 S =
571 endif
572
573 $(eval $(call add_include_file,kernel/yosys.h))
574 $(eval $(call add_include_file,kernel/hashlib.h))
575 $(eval $(call add_include_file,kernel/log.h))
576 $(eval $(call add_include_file,kernel/rtlil.h))
577 $(eval $(call add_include_file,kernel/register.h))
578 $(eval $(call add_include_file,kernel/celltypes.h))
579 $(eval $(call add_include_file,kernel/celledges.h))
580 $(eval $(call add_include_file,kernel/consteval.h))
581 $(eval $(call add_include_file,kernel/constids.inc))
582 $(eval $(call add_include_file,kernel/sigtools.h))
583 $(eval $(call add_include_file,kernel/modtools.h))
584 $(eval $(call add_include_file,kernel/macc.h))
585 $(eval $(call add_include_file,kernel/utils.h))
586 $(eval $(call add_include_file,kernel/satgen.h))
587 $(eval $(call add_include_file,kernel/ff.h))
588 $(eval $(call add_include_file,kernel/ffinit.h))
589 $(eval $(call add_include_file,libs/ezsat/ezsat.h))
590 $(eval $(call add_include_file,libs/ezsat/ezminisat.h))
591 $(eval $(call add_include_file,libs/sha1/sha1.h))
592 $(eval $(call add_include_file,libs/json11/json11.hpp))
593 $(eval $(call add_include_file,passes/fsm/fsmdata.h))
594 $(eval $(call add_include_file,frontends/ast/ast.h))
595 $(eval $(call add_include_file,backends/rtlil/rtlil_backend.h))
596 $(eval $(call add_include_file,backends/cxxrtl/cxxrtl.h))
597 $(eval $(call add_include_file,backends/cxxrtl/cxxrtl_vcd.h))
598 $(eval $(call add_include_file,backends/cxxrtl/cxxrtl_capi.cc))
599 $(eval $(call add_include_file,backends/cxxrtl/cxxrtl_capi.h))
600 $(eval $(call add_include_file,backends/cxxrtl/cxxrtl_vcd_capi.cc))
601 $(eval $(call add_include_file,backends/cxxrtl/cxxrtl_vcd_capi.h))
602
603 OBJS += kernel/driver.o kernel/register.o kernel/rtlil.o kernel/log.o kernel/calc.o kernel/yosys.o
604 OBJS += kernel/cellaigs.o kernel/celledges.o kernel/satgen.o
605
606 kernel/log.o: CXXFLAGS += -DYOSYS_SRC='"$(YOSYS_SRC)"'
607 kernel/yosys.o: CXXFLAGS += -DYOSYS_DATDIR='"$(DATDIR)"' -DYOSYS_PROGRAM_PREFIX='"$(PROGRAM_PREFIX)"'
608
609 OBJS += libs/bigint/BigIntegerAlgorithms.o libs/bigint/BigInteger.o libs/bigint/BigIntegerUtils.o
610 OBJS += libs/bigint/BigUnsigned.o libs/bigint/BigUnsignedInABase.o
611
612 OBJS += libs/sha1/sha1.o
613
614 ifneq ($(SMALL),1)
615
616 OBJS += libs/json11/json11.o
617
618 OBJS += libs/subcircuit/subcircuit.o
619
620 OBJS += libs/ezsat/ezsat.o
621 OBJS += libs/ezsat/ezminisat.o
622
623 OBJS += libs/minisat/Options.o
624 OBJS += libs/minisat/SimpSolver.o
625 OBJS += libs/minisat/Solver.o
626 OBJS += libs/minisat/System.o
627
628 include $(YOSYS_SRC)/frontends/*/Makefile.inc
629 include $(YOSYS_SRC)/passes/*/Makefile.inc
630 include $(YOSYS_SRC)/backends/*/Makefile.inc
631 include $(YOSYS_SRC)/techlibs/*/Makefile.inc
632
633 else
634
635 include $(YOSYS_SRC)/frontends/verilog/Makefile.inc
636 include $(YOSYS_SRC)/frontends/rtlil/Makefile.inc
637 include $(YOSYS_SRC)/frontends/ast/Makefile.inc
638 include $(YOSYS_SRC)/frontends/blif/Makefile.inc
639
640 OBJS += passes/hierarchy/hierarchy.o
641 OBJS += passes/cmds/select.o
642 OBJS += passes/cmds/show.o
643 OBJS += passes/cmds/stat.o
644 OBJS += passes/cmds/cover.o
645 OBJS += passes/cmds/design.o
646 OBJS += passes/cmds/plugin.o
647
648 include $(YOSYS_SRC)/passes/proc/Makefile.inc
649 include $(YOSYS_SRC)/passes/opt/Makefile.inc
650 include $(YOSYS_SRC)/passes/techmap/Makefile.inc
651
652 include $(YOSYS_SRC)/backends/verilog/Makefile.inc
653 include $(YOSYS_SRC)/backends/rtlil/Makefile.inc
654
655 include $(YOSYS_SRC)/techlibs/common/Makefile.inc
656
657 endif
658
659 ifeq ($(LINK_ABC),1)
660 OBJS += $(PROGRAM_PREFIX)yosys-libabc.a
661 endif
662
663 top-all: $(TARGETS) $(EXTRA_TARGETS)
664 @echo ""
665 @echo " Build successful."
666 @echo ""
667
668 ifeq ($(CONFIG),emcc)
669 yosys.js: $(filter-out yosysjs-$(YOSYS_VER).zip,$(EXTRA_TARGETS))
670 endif
671
672 $(PROGRAM_PREFIX)yosys$(EXE): $(OBJS)
673 $(P) $(LD) -o $(PROGRAM_PREFIX)yosys$(EXE) $(LDFLAGS) $(OBJS) $(LDLIBS)
674
675 libyosys.so: $(filter-out kernel/driver.o,$(OBJS))
676 ifeq ($(OS), Darwin)
677 $(P) $(LD) -o libyosys.so -shared -Wl,-install_name,$(DESTDIR)$(LIBDIR)/libyosys.so $(LDFLAGS) $^ $(LDLIBS)
678 else
679 $(P) $(LD) -o libyosys.so -shared -Wl,-soname,$(DESTDIR)$(LIBDIR)/libyosys.so $(LDFLAGS) $^ $(LDLIBS)
680 endif
681
682 %.o: %.cc
683 $(Q) mkdir -p $(dir $@)
684 $(P) $(CXX) -o $@ -c $(CPPFLAGS) $(CXXFLAGS) $<
685
686 %.pyh: %.h
687 $(Q) mkdir -p $(dir $@)
688 $(P) cat $< | grep -E -v "#[ ]*(include|error)" | $(LD) $(CXXFLAGS) -x c++ -o $@ -E -P -
689
690 ifeq ($(ENABLE_PYOSYS),1)
691 $(PY_WRAPPER_FILE).cc: misc/$(PY_GEN_SCRIPT).py $(PY_WRAP_INCLUDES)
692 $(Q) mkdir -p $(dir $@)
693 $(P) python$(PYTHON_VERSION) -c "from misc import $(PY_GEN_SCRIPT); $(PY_GEN_SCRIPT).gen_wrappers(\"$(PY_WRAPPER_FILE).cc\")"
694 endif
695
696 %.o: %.cpp
697 $(Q) mkdir -p $(dir $@)
698 $(P) $(CXX) -o $@ -c $(CPPFLAGS) $(CXXFLAGS) $<
699
700 YOSYS_VER_STR := Yosys $(YOSYS_VER) (git sha1 $(GIT_REV), $(notdir $(CXX)) $(shell \
701 $(CXX) --version | tr ' ()' '\n' | grep '^[0-9]' | head -n1) $(filter -f% -m% -O% -DNDEBUG,$(CXXFLAGS)))
702
703 kernel/version_$(GIT_REV).cc: $(YOSYS_SRC)/Makefile
704 $(P) rm -f kernel/version_*.o kernel/version_*.d kernel/version_*.cc
705 $(Q) mkdir -p kernel && echo "namespace Yosys { extern const char *yosys_version_str; const char *yosys_version_str=\"$(YOSYS_VER_STR)\"; }" > kernel/version_$(GIT_REV).cc
706
707 ifeq ($(ENABLE_VERIFIC),1)
708 CXXFLAGS_NOVERIFIC = $(foreach v,$(CXXFLAGS),$(if $(findstring $(VERIFIC_DIR),$(v)),,$(v)))
709 LDLIBS_NOVERIFIC = $(foreach v,$(LDLIBS),$(if $(findstring $(VERIFIC_DIR),$(v)),,$(v)))
710 else
711 CXXFLAGS_NOVERIFIC = $(CXXFLAGS)
712 LDLIBS_NOVERIFIC = $(LDLIBS)
713 endif
714
715 $(PROGRAM_PREFIX)yosys-config: misc/yosys-config.in
716 $(P) $(SED) -e 's#@CXXFLAGS@#$(subst -I. -I"$(YOSYS_SRC)",-I"$(DATDIR)/include",$(strip $(CXXFLAGS_NOVERIFIC)))#;' \
717 -e 's#@CXX@#$(strip $(CXX))#;' -e 's#@LDFLAGS@#$(strip $(LDFLAGS) $(PLUGIN_LDFLAGS))#;' -e 's#@LDLIBS@#$(strip $(LDLIBS_NOVERIFIC))#;' \
718 -e 's#@BINDIR@#$(strip $(BINDIR))#;' -e 's#@DATDIR@#$(strip $(DATDIR))#;' < $< > $(PROGRAM_PREFIX)yosys-config
719 $(Q) chmod +x $(PROGRAM_PREFIX)yosys-config
720
721 abc/abc-$(ABCREV)$(EXE) abc/libabc-$(ABCREV).a:
722 $(P)
723 ifneq ($(ABCREV),default)
724 $(Q) if test -d abc/.hg; then \
725 echo 'REEBE: NOP qverpgbel vf n ut jbexvat pbcl! Erzbir nop/ naq er-eha "znxr".' | tr 'A-Za-z' 'N-ZA-Mn-za-m'; false; \
726 fi
727 $(Q) if ( cd abc 2> /dev/null && ! git diff-index --quiet HEAD; ); then \
728 echo 'REEBE: NOP pbagnvaf ybpny zbqvsvpngvbaf! Frg NOPERI=qrsnhyg va Lbflf Znxrsvyr!' | tr 'A-Za-z' 'N-ZA-Mn-za-m'; false; \
729 fi
730 # set a variable so the test fails if git fails to run - when comparing outputs directly, empty string would match empty string
731 $(Q) if ! (cd abc 2> /dev/null && rev="`git rev-parse $(ABCREV)`" && test "`git rev-parse HEAD`" == "$$rev"); then \
732 test $(ABCPULL) -ne 0 || { echo 'REEBE: NOP abg hc gb qngr naq NOPCHYY frg gb 0 va Znxrsvyr!' | tr 'A-Za-z' 'N-ZA-Mn-za-m'; exit 1; }; \
733 echo "Pulling ABC from $(ABCURL):"; set -x; \
734 test -d abc || git clone $(ABCURL) abc; \
735 cd abc && $(MAKE) DEP= clean && git fetch $(ABCURL) && git checkout $(ABCREV); \
736 fi
737 endif
738 $(Q) rm -f abc/abc-[0-9a-f]*
739 $(Q) cd abc && $(MAKE) $(S) $(ABCMKARGS) $(if $(filter %.a,$@),PROG="abc-$(ABCREV)",PROG="abc-$(ABCREV)$(EXE)") MSG_PREFIX="$(eval P_OFFSET = 5)$(call P_SHOW)$(eval P_OFFSET = 10) ABC: " $(if $(filter %.a,$@),libabc-$(ABCREV).a)
740
741 ifeq ($(ABCREV),default)
742 .PHONY: abc/abc-$(ABCREV)$(EXE)
743 .PHONY: abc/libabc-$(ABCREV).a
744 endif
745
746 $(PROGRAM_PREFIX)yosys-abc$(EXE): abc/abc-$(ABCREV)$(EXE)
747 $(P) cp abc/abc-$(ABCREV)$(EXE) $(PROGRAM_PREFIX)yosys-abc$(EXE)
748
749 $(PROGRAM_PREFIX)yosys-libabc.a: abc/libabc-$(ABCREV).a
750 $(P) cp abc/libabc-$(ABCREV).a $(PROGRAM_PREFIX)yosys-libabc.a
751
752 ifneq ($(SEED),)
753 SEEDOPT="-S $(SEED)"
754 else
755 SEEDOPT=""
756 endif
757
758 ifneq ($(ABCEXTERNAL),)
759 ABCOPT="-A $(ABCEXTERNAL)"
760 else
761 ABCOPT=""
762 endif
763
764 test: $(TARGETS) $(EXTRA_TARGETS)
765 +cd tests/simple && bash run-test.sh $(SEEDOPT)
766 +cd tests/simple_abc9 && bash run-test.sh $(SEEDOPT)
767 +cd tests/hana && bash run-test.sh $(SEEDOPT)
768 +cd tests/asicworld && bash run-test.sh $(SEEDOPT)
769 # +cd tests/realmath && bash run-test.sh $(SEEDOPT)
770 +cd tests/share && bash run-test.sh $(SEEDOPT)
771 +cd tests/opt_share && bash run-test.sh $(SEEDOPT)
772 +cd tests/fsm && bash run-test.sh $(SEEDOPT)
773 +cd tests/techmap && bash run-test.sh
774 +cd tests/memories && bash run-test.sh $(ABCOPT) $(SEEDOPT)
775 +cd tests/bram && bash run-test.sh $(SEEDOPT)
776 +cd tests/various && bash run-test.sh
777 +cd tests/select && bash run-test.sh
778 +cd tests/sat && bash run-test.sh
779 +cd tests/svinterfaces && bash run-test.sh $(SEEDOPT)
780 +cd tests/svtypes && bash run-test.sh $(SEEDOPT)
781 +cd tests/proc && bash run-test.sh
782 +cd tests/opt && bash run-test.sh
783 +cd tests/aiger && bash run-test.sh $(ABCOPT)
784 +cd tests/arch && bash run-test.sh
785 +cd tests/arch/ice40 && bash run-test.sh $(SEEDOPT)
786 +cd tests/arch/xilinx && bash run-test.sh $(SEEDOPT)
787 +cd tests/arch/ecp5 && bash run-test.sh $(SEEDOPT)
788 +cd tests/arch/efinix && bash run-test.sh $(SEEDOPT)
789 +cd tests/arch/anlogic && bash run-test.sh $(SEEDOPT)
790 +cd tests/arch/gowin && bash run-test.sh $(SEEDOPT)
791 +cd tests/arch/intel_alm && bash run-test.sh $(SEEDOPT)
792 +cd tests/rpc && bash run-test.sh
793 +cd tests/memfile && bash run-test.sh
794 +cd tests/verilog && bash run-test.sh
795 @echo ""
796 @echo " Passed \"make test\"."
797 @echo ""
798
799 VALGRIND ?= valgrind --error-exitcode=1 --leak-check=full --show-reachable=yes --errors-for-leak-kinds=all
800
801 vgtest: $(TARGETS) $(EXTRA_TARGETS)
802 $(VALGRIND) ./yosys -p 'setattr -mod -unset top; synth' $$( ls tests/simple/*.v | grep -v repwhile.v )
803 @echo ""
804 @echo " Passed \"make vgtest\"."
805 @echo ""
806
807 vloghtb: $(TARGETS) $(EXTRA_TARGETS)
808 +cd tests/vloghtb && bash run-test.sh
809 @echo ""
810 @echo " Passed \"make vloghtb\"."
811 @echo ""
812
813 ystests: $(TARGETS) $(EXTRA_TARGETS)
814 rm -rf tests/ystests
815 git clone https://github.com/YosysHQ/yosys-tests.git tests/ystests
816 +$(MAKE) PATH="$$PWD:$$PATH" -C tests/ystests
817 @echo ""
818 @echo " Finished \"make ystests\"."
819 @echo ""
820
821 # Unit test
822 unit-test: libyosys.so
823 @$(MAKE) -C $(UNITESTPATH) CXX="$(CXX)" CPPFLAGS="$(CPPFLAGS)" \
824 CXXFLAGS="$(CXXFLAGS)" LDLIBS="$(LDLIBS)" ROOTPATH="$(CURDIR)"
825
826 clean-unit-test:
827 @$(MAKE) -C $(UNITESTPATH) clean
828
829 install: $(TARGETS) $(EXTRA_TARGETS)
830 $(INSTALL_SUDO) mkdir -p $(DESTDIR)$(BINDIR)
831 $(INSTALL_SUDO) cp $(filter-out libyosys.so,$(TARGETS)) $(DESTDIR)$(BINDIR)
832 ifneq ($(filter $(PROGRAM_PREFIX)yosys,$(TARGETS)),)
833 $(INSTALL_SUDO) $(STRIP) -S $(DESTDIR)$(BINDIR)/$(PROGRAM_PREFIX)yosys
834 endif
835 ifneq ($(filter $(PROGRAM_PREFIX)yosys-abc,$(TARGETS)),)
836 $(INSTALL_SUDO) $(STRIP) $(DESTDIR)$(BINDIR)/$(PROGRAM_PREFIX)yosys-abc
837 endif
838 ifneq ($(filter $(PROGRAM_PREFIX)yosys-filterlib,$(TARGETS)),)
839 $(INSTALL_SUDO) $(STRIP) $(DESTDIR)$(BINDIR)/$(PROGRAM_PREFIX)yosys-filterlib
840 endif
841 $(INSTALL_SUDO) mkdir -p $(DESTDIR)$(DATDIR)
842 $(INSTALL_SUDO) cp -r share/. $(DESTDIR)$(DATDIR)/.
843 ifeq ($(ENABLE_LIBYOSYS),1)
844 $(INSTALL_SUDO) mkdir -p $(DESTDIR)$(LIBDIR)
845 $(INSTALL_SUDO) cp libyosys.so $(DESTDIR)$(LIBDIR)/
846 $(INSTALL_SUDO) $(STRIP) -S $(DESTDIR)$(LIBDIR)/libyosys.so
847 ifeq ($(ENABLE_PYOSYS),1)
848 $(INSTALL_SUDO) mkdir -p $(DESTDIR)$(PYTHON_DESTDIR)/$(subst -,_,$(PROGRAM_PREFIX))pyosys
849 $(INSTALL_SUDO) cp libyosys.so $(DESTDIR)$(PYTHON_DESTDIR)/$(subst -,_,$(PROGRAM_PREFIX))pyosys/libyosys.so
850 $(INSTALL_SUDO) cp misc/__init__.py $(DESTDIR)$(PYTHON_DESTDIR)/$(subst -,_,$(PROGRAM_PREFIX))pyosys/
851 endif
852 endif
853
854 uninstall:
855 $(INSTALL_SUDO) rm -vf $(addprefix $(DESTDIR)$(BINDIR)/,$(notdir $(TARGETS)))
856 $(INSTALL_SUDO) rm -rvf $(DESTDIR)$(DATDIR)
857 ifeq ($(ENABLE_LIBYOSYS),1)
858 $(INSTALL_SUDO) rm -vf $(DESTDIR)$(LIBDIR)/libyosys.so
859 ifeq ($(ENABLE_PYOSYS),1)
860 $(INSTALL_SUDO) rm -vf $(DESTDIR)$(PYTHON_DESTDIR)/$(subst -,_,$(PROGRAM_PREFIX))pyosys/libyosys.so
861 $(INSTALL_SUDO) rm -vf $(DESTDIR)$(PYTHON_DESTDIR)/$(subst -,_,$(PROGRAM_PREFIX))pyosys/__init__.py
862 $(INSTALL_SUDO) rmdir $(DESTDIR)$(PYTHON_DESTDIR)/$(subst -,_,$(PROGRAM_PREFIX))pyosys
863 endif
864 endif
865
866 update-manual: $(TARGETS) $(EXTRA_TARGETS)
867 cd manual && ../$(PROGRAM_PREFIX)yosys -p 'help -write-tex-command-reference-manual'
868
869 manual: $(TARGETS) $(EXTRA_TARGETS)
870 cd manual && bash appnotes.sh
871 cd manual && bash presentation.sh
872 cd manual && bash manual.sh
873
874 clean:
875 rm -rf share
876 rm -rf kernel/*.pyh
877 if test -d manual; then cd manual && sh clean.sh; fi
878 rm -f $(OBJS) $(GENFILES) $(TARGETS) $(EXTRA_TARGETS) $(EXTRA_OBJS) $(PY_WRAP_INCLUDES) $(PY_WRAPPER_FILE).cc
879 rm -f kernel/version_*.o kernel/version_*.cc
880 rm -f libs/*/*.d frontends/*/*.d passes/*/*.d backends/*/*.d kernel/*.d techlibs/*/*.d
881 rm -rf tests/asicworld/*.out tests/asicworld/*.log
882 rm -rf tests/hana/*.out tests/hana/*.log
883 rm -rf tests/simple/*.out tests/simple/*.log
884 rm -rf tests/memories/*.out tests/memories/*.log tests/memories/*.dmp
885 rm -rf tests/sat/*.log tests/techmap/*.log tests/various/*.log
886 rm -rf tests/bram/temp tests/fsm/temp tests/realmath/temp tests/share/temp tests/smv/temp
887 rm -rf vloghtb/Makefile vloghtb/refdat vloghtb/rtl vloghtb/scripts vloghtb/spec vloghtb/check_yosys vloghtb/vloghammer_tb.tar.bz2 vloghtb/temp vloghtb/log_test_*
888 rm -f tests/svinterfaces/*.log_stdout tests/svinterfaces/*.log_stderr tests/svinterfaces/dut_result.txt tests/svinterfaces/reference_result.txt tests/svinterfaces/a.out tests/svinterfaces/*_syn.v tests/svinterfaces/*.diff
889 rm -f tests/tools/cmp_tbdata
890
891 clean-abc:
892 $(MAKE) -C abc DEP= clean
893 rm -f $(PROGRAM_PREFIX)yosys-abc$(EXE) $(PROGRAM_PREFIX)yosys-libabc.a abc/abc-[0-9a-f]* abc/libabc-[0-9a-f]*.a
894
895 mrproper: clean
896 git clean -xdf
897
898 coverage:
899 ./$(PROGRAM_PREFIX)yosys -qp 'help; help -all'
900 rm -rf coverage.info coverage_html
901 lcov --capture -d . --no-external -o coverage.info
902 genhtml coverage.info --output-directory coverage_html
903
904 qtcreator:
905 { for file in $(basename $(OBJS)); do \
906 for prefix in cc y l; do if [ -f $${file}.$${prefix} ]; then echo $$file.$${prefix}; fi; done \
907 done; find backends frontends kernel libs passes -type f \( -name '*.h' -o -name '*.hh' \); } > qtcreator.files
908 { echo .; find backends frontends kernel libs passes -type f \( -name '*.h' -o -name '*.hh' \) -printf '%h\n' | sort -u; } > qtcreator.includes
909 touch qtcreator.config qtcreator.creator
910
911 vcxsrc: $(GENFILES) $(EXTRA_TARGETS)
912 rm -rf yosys-win32-vcxsrc-$(YOSYS_VER){,.zip}
913 set -e; for f in `ls $(filter %.cc %.cpp,$(GENFILES)) $(addsuffix .cc,$(basename $(OBJS))) $(addsuffix .cpp,$(basename $(OBJS))) 2> /dev/null`; do \
914 echo "Analyse: $$f" >&2; cpp -std=c++11 -MM -I. -D_YOSYS_ $$f; done | sed 's,.*:,,; s,//*,/,g; s,/[^/]*/\.\./,/,g; y, \\,\n\n,;' | grep '^[^/]' | sort -u | grep -v kernel/version_ > srcfiles.txt
915 bash misc/create_vcxsrc.sh yosys-win32-vcxsrc $(YOSYS_VER) $(GIT_REV)
916 echo "namespace Yosys { extern const char *yosys_version_str; const char *yosys_version_str=\"Yosys (Version Information Unavailable)\"; }" > kernel/version.cc
917 zip yosys-win32-vcxsrc-$(YOSYS_VER)/genfiles.zip $(GENFILES) kernel/version.cc
918 zip -r yosys-win32-vcxsrc-$(YOSYS_VER).zip yosys-win32-vcxsrc-$(YOSYS_VER)/
919 rm -f srcfiles.txt kernel/version.cc
920
921 ifeq ($(CONFIG),mxe)
922 mxebin: $(TARGETS) $(EXTRA_TARGETS)
923 rm -rf yosys-win32-mxebin-$(YOSYS_VER){,.zip}
924 mkdir -p yosys-win32-mxebin-$(YOSYS_VER)
925 cp -r $(PROGRAM_PREFIX)yosys.exe share/ yosys-win32-mxebin-$(YOSYS_VER)/
926 ifeq ($(ENABLE_ABC),1)
927 cp -r $(PROGRAM_PREFIX)yosys-abc.exe abc/lib/x86/pthreadVC2.dll yosys-win32-mxebin-$(YOSYS_VER)/
928 endif
929 echo -en 'This is Yosys $(YOSYS_VER) for Win32.\r\n' > yosys-win32-mxebin-$(YOSYS_VER)/readme.txt
930 echo -en 'Documentation at http://www.clifford.at/yosys/.\r\n' >> yosys-win32-mxebin-$(YOSYS_VER)/readme.txt
931 zip -r yosys-win32-mxebin-$(YOSYS_VER).zip yosys-win32-mxebin-$(YOSYS_VER)/
932 endif
933
934 config-clean: clean
935 rm -f Makefile.conf
936
937 config-clang: clean
938 echo 'CONFIG := clang' > Makefile.conf
939
940 config-gcc: clean
941 echo 'CONFIG := gcc' > Makefile.conf
942
943 config-gcc-static: clean
944 echo 'CONFIG := gcc-static' > Makefile.conf
945 echo 'ENABLE_PLUGINS := 0' >> Makefile.conf
946 echo 'ENABLE_READLINE := 0' >> Makefile.conf
947 echo 'ENABLE_TCL := 0' >> Makefile.conf
948
949 config-gcc-4.8: clean
950 echo 'CONFIG := gcc-4.8' > Makefile.conf
951
952 config-afl-gcc: clean
953 echo 'CONFIG := afl-gcc' > Makefile.conf
954
955 config-emcc: clean
956 echo 'CONFIG := emcc' > Makefile.conf
957 echo 'ENABLE_TCL := 0' >> Makefile.conf
958 echo 'ENABLE_ABC := 0' >> Makefile.conf
959 echo 'ENABLE_PLUGINS := 0' >> Makefile.conf
960 echo 'ENABLE_READLINE := 0' >> Makefile.conf
961 echo 'ENABLE_ZLIB := 0' >> Makefile.conf
962
963 config-wasi: clean
964 echo 'CONFIG := wasi' > Makefile.conf
965 echo 'ENABLE_TCL := 0' >> Makefile.conf
966 echo 'ENABLE_ABC := 0' >> Makefile.conf
967 echo 'ENABLE_PLUGINS := 0' >> Makefile.conf
968 echo 'ENABLE_READLINE := 0' >> Makefile.conf
969 echo 'ENABLE_ZLIB := 0' >> Makefile.conf
970
971 config-mxe: clean
972 echo 'CONFIG := mxe' > Makefile.conf
973 echo 'ENABLE_PLUGINS := 0' >> Makefile.conf
974
975 config-msys2: clean
976 echo 'CONFIG := msys2' > Makefile.conf
977 echo 'ENABLE_PLUGINS := 0' >> Makefile.conf
978
979 config-msys2-64: clean
980 echo 'CONFIG := msys2-64' > Makefile.conf
981 echo 'ENABLE_PLUGINS := 0' >> Makefile.conf
982
983 config-cygwin: clean
984 echo 'CONFIG := cygwin' > Makefile.conf
985
986 config-gcov: clean
987 echo 'CONFIG := gcc' > Makefile.conf
988 echo 'ENABLE_GCOV := 1' >> Makefile.conf
989 echo 'ENABLE_DEBUG := 1' >> Makefile.conf
990
991 config-gprof: clean
992 echo 'CONFIG := gcc' > Makefile.conf
993 echo 'ENABLE_GPROF := 1' >> Makefile.conf
994
995 config-sudo:
996 echo "INSTALL_SUDO := sudo" >> Makefile.conf
997
998 echo-yosys-ver:
999 @echo "$(YOSYS_VER)"
1000
1001 echo-git-rev:
1002 @echo "$(GIT_REV)"
1003
1004 echo-abc-rev:
1005 @echo "$(ABCREV)"
1006
1007 -include libs/*/*.d
1008 -include frontends/*/*.d
1009 -include passes/*/*.d
1010 -include backends/*/*.d
1011 -include kernel/*.d
1012 -include techlibs/*/*.d
1013
1014 .PHONY: all top-all abc test install install-abc manual clean mrproper qtcreator coverage vcxsrc mxebin
1015 .PHONY: config-clean config-clang config-gcc config-gcc-static config-gcc-4.8 config-afl-gcc config-gprof config-sudo
1016