Merge pull request #1228 from YosysHQ/dave/yy_buf_size
[yosys.git] / Makefile
1
2 CONFIG := clang
3 # CONFIG := gcc
4 # CONFIG := gcc-4.8
5 # CONFIG := afl-gcc
6 # CONFIG := emcc
7 # CONFIG := mxe
8 # CONFIG := msys2
9 # CONFIG := msys2-64
10
11 # features (the more the better)
12 ENABLE_TCL := 1
13 ENABLE_ABC := 1
14 ENABLE_GLOB := 1
15 ENABLE_PLUGINS := 1
16 ENABLE_READLINE := 1
17 ENABLE_EDITLINE := 0
18 ENABLE_VERIFIC := 0
19 ENABLE_COVER := 1
20 ENABLE_LIBYOSYS := 0
21 ENABLE_PROTOBUF := 0
22 ENABLE_ZLIB := 1
23
24 # python wrappers
25 ENABLE_PYOSYS := 0
26
27 # other configuration flags
28 ENABLE_GCOV := 0
29 ENABLE_GPROF := 0
30 ENABLE_DEBUG := 0
31 ENABLE_NDEBUG := 0
32 LINK_CURSES := 0
33 LINK_TERMCAP := 0
34 LINK_ABC := 0
35 # Needed for environments that don't have proper thread support (i.e. emscripten)
36 DISABLE_ABC_THREADS := 0
37
38 # clang sanitizers
39 SANITIZER =
40 # SANITIZER = address
41 # SANITIZER = memory
42 # SANITIZER = undefined
43 # SANITIZER = cfi
44
45
46 OS := $(shell uname -s)
47 PREFIX ?= /usr/local
48 INSTALL_SUDO :=
49
50 ifneq ($(wildcard Makefile.conf),)
51 include Makefile.conf
52 endif
53
54 BINDIR := $(PREFIX)/bin
55 LIBDIR := $(PREFIX)/lib
56 DATDIR := $(PREFIX)/share/yosys
57
58 EXE =
59 OBJS =
60 GENFILES =
61 EXTRA_OBJS =
62 EXTRA_TARGETS =
63 TARGETS = yosys$(EXE) yosys-config
64
65 PRETTY = 1
66 SMALL = 0
67
68 # Unit test
69 UNITESTPATH := tests/unit
70
71 all: top-all
72
73 YOSYS_SRC := $(dir $(firstword $(MAKEFILE_LIST)))
74 VPATH := $(YOSYS_SRC)
75
76 CXXFLAGS := $(CXXFLAGS) -Wall -Wextra -ggdb -I. -I"$(YOSYS_SRC)" -MD -D_YOSYS_ -fPIC -I$(PREFIX)/include
77 LDFLAGS := $(LDFLAGS) -L$(LIBDIR)
78 LDLIBS := $(LDLIBS) -lstdc++ -lm
79 PLUGIN_LDFLAGS :=
80
81 PKG_CONFIG ?= pkg-config
82 SED ?= sed
83 BISON ?= bison
84 STRIP ?= strip
85 AWK ?= awk
86
87 ifeq ($(OS), Darwin)
88 PLUGIN_LDFLAGS += -undefined dynamic_lookup
89
90 # homebrew search paths
91 ifneq ($(shell which brew),)
92 BREW_PREFIX := $(shell brew --prefix)/opt
93 $(info $$BREW_PREFIX is [${BREW_PREFIX}])
94 CXXFLAGS += -I$(BREW_PREFIX)/boost/include/boost
95 LDFLAGS += -L$(BREW_PREFIX)/boost/lib
96 CXXFLAGS += -I$(BREW_PREFIX)/readline/include
97 LDFLAGS += -L$(BREW_PREFIX)/readline/lib
98 PKG_CONFIG_PATH := $(BREW_PREFIX)/libffi/lib/pkgconfig:$(PKG_CONFIG_PATH)
99 PKG_CONFIG_PATH := $(BREW_PREFIX)/tcl-tk/lib/pkgconfig:$(PKG_CONFIG_PATH)
100 export PATH := $(BREW_PREFIX)/bison/bin:$(BREW_PREFIX)/gettext/bin:$(BREW_PREFIX)/flex/bin:$(PATH)
101
102 # macports search paths
103 else ifneq ($(shell which port),)
104 PORT_PREFIX := $(patsubst %/bin/port,%,$(shell which port))
105 CXXFLAGS += -I$(PORT_PREFIX)/include
106 LDFLAGS += -L$(PORT_PREFIX)/lib
107 PKG_CONFIG_PATH := $(PORT_PREFIX)/lib/pkgconfig:$(PKG_CONFIG_PATH)
108 export PATH := $(PORT_PREFIX)/bin:$(PATH)
109 endif
110
111 else
112 LDFLAGS += -rdynamic
113 LDLIBS += -lrt
114 endif
115
116 YOSYS_VER := 0.8+$(shell cd $(YOSYS_SRC) && test -e .git && { git log --author=clifford@clifford.at --oneline 4d4665b.. 2> /dev/null | wc -l; })
117 GIT_REV := $(shell cd $(YOSYS_SRC) && git rev-parse --short HEAD 2> /dev/null || echo UNKNOWN)
118 OBJS = kernel/version_$(GIT_REV).o
119
120 # set 'ABCREV = default' to use abc/ as it is
121 #
122 # Note: If you do ABC development, make sure that 'abc' in this directory
123 # is just a symlink to your actual ABC working directory, as 'make mrproper'
124 # will remove the 'abc' directory and you do not want to accidentally
125 # delete your work on ABC..
126 ABCREV = 5776ad0
127 ABCPULL = 1
128 ABCURL ?= https://github.com/berkeley-abc/abc
129 ABCMKARGS = CC="$(CXX)" CXX="$(CXX)" ABC_USE_LIBSTDCXX=1
130
131 # set ABCEXTERNAL = <abc-command> to use an external ABC instance
132 # Note: The in-tree ABC (yosys-abc) will not be installed when ABCEXTERNAL is set.
133 ABCEXTERNAL ?=
134
135 define newline
136
137
138 endef
139
140 ifneq ($(wildcard Makefile.conf),)
141 $(info $(subst $$--$$,$(newline),$(shell sed 's,^,[Makefile.conf] ,; s,$$,$$--$$,;' < Makefile.conf | tr -d '\n' | sed 's,\$$--\$$$$,,')))
142 include Makefile.conf
143 endif
144
145 ifeq ($(ENABLE_PYOSYS),1)
146 PYTHON_VERSION_TESTCODE := "import sys;t='{v[0]}.{v[1]}'.format(v=list(sys.version_info[:2]));print(t)"
147 PYTHON_EXECUTABLE := $(shell if python3 -c ""; then echo "python3"; else echo "python"; fi)
148 PYTHON_VERSION := $(shell $(PYTHON_EXECUTABLE) -c ""$(PYTHON_VERSION_TESTCODE)"")
149 PYTHON_MAJOR_VERSION := $(shell echo $(PYTHON_VERSION) | cut -f1 -d.)
150 PYTHON_PREFIX := $(shell $(PYTHON_EXECUTABLE)-config --prefix)
151 PYTHON_DESTDIR := $(PYTHON_PREFIX)/lib/python$(PYTHON_VERSION)/site-packages
152
153 # Reload Makefile.conf to override python specific variables if defined
154 ifneq ($(wildcard Makefile.conf),)
155 include Makefile.conf
156 endif
157
158 endif
159
160 ifeq ($(CONFIG),clang)
161 CXX = clang
162 LD = clang++
163 CXXFLAGS += -std=c++11 -Os
164 ABCMKARGS += ARCHFLAGS="-DABC_USE_STDINT_H"
165
166 ifneq ($(SANITIZER),)
167 $(info [Clang Sanitizer] $(SANITIZER))
168 CXXFLAGS += -g -O1 -fno-omit-frame-pointer -fno-optimize-sibling-calls -fsanitize=$(SANITIZER)
169 LDFLAGS += -g -fsanitize=$(SANITIZER)
170 ifeq ($(SANITIZER),address)
171 ENABLE_COVER := 0
172 endif
173 ifeq ($(SANITIZER),memory)
174 CXXFLAGS += -fPIE -fsanitize-memory-track-origins
175 LDFLAGS += -fPIE -fsanitize-memory-track-origins
176 endif
177 ifeq ($(SANITIZER),cfi)
178 CXXFLAGS += -flto
179 LDFLAGS += -flto
180 endif
181 endif
182
183 else ifeq ($(CONFIG),gcc)
184 CXX = gcc
185 LD = gcc
186 CXXFLAGS += -std=c++11 -Os
187 ABCMKARGS += ARCHFLAGS="-DABC_USE_STDINT_H"
188
189 else ifeq ($(CONFIG),gcc-static)
190 LD = $(CXX)
191 LDFLAGS := $(filter-out -rdynamic,$(LDFLAGS)) -static
192 LDLIBS := $(filter-out -lrt,$(LDLIBS))
193 CXXFLAGS := $(filter-out -fPIC,$(CXXFLAGS))
194 CXXFLAGS += -std=c++11 -Os
195 ABCMKARGS = CC="$(CC)" CXX="$(CXX)" LD="$(LD)" ABC_USE_LIBSTDCXX=1 LIBS="-lm -lpthread -static" OPTFLAGS="-O" \
196 ARCHFLAGS="-DABC_USE_STDINT_H -DABC_NO_DYNAMIC_LINKING=1 -Wno-unused-but-set-variable $(ARCHFLAGS)" ABC_USE_NO_READLINE=1
197 ifeq ($(DISABLE_ABC_THREADS),1)
198 ABCMKARGS += "ABC_USE_NO_PTHREADS=1"
199 endif
200
201 else ifeq ($(CONFIG),gcc-4.8)
202 CXX = gcc-4.8
203 LD = gcc-4.8
204 CXXFLAGS += -std=c++11 -Os
205 ABCMKARGS += ARCHFLAGS="-DABC_USE_STDINT_H"
206
207 else ifeq ($(CONFIG),afl-gcc)
208 CXX = AFL_QUIET=1 AFL_HARDEN=1 afl-gcc
209 LD = AFL_QUIET=1 AFL_HARDEN=1 afl-gcc
210 CXXFLAGS += -std=c++11 -Os
211 ABCMKARGS += ARCHFLAGS="-DABC_USE_STDINT_H"
212
213 else ifeq ($(CONFIG),cygwin)
214 CXX = gcc
215 LD = gcc
216 CXXFLAGS += -std=gnu++11 -Os
217 ABCMKARGS += ARCHFLAGS="-DABC_USE_STDINT_H"
218
219 else ifeq ($(CONFIG),emcc)
220 CXX = emcc
221 LD = emcc
222 CXXFLAGS := -std=c++11 $(filter-out -fPIC -ggdb,$(CXXFLAGS))
223 ABCMKARGS += ARCHFLAGS="-DABC_USE_STDINT_H -DABC_MEMALIGN=8"
224 EMCCFLAGS := -Os -Wno-warn-absolute-paths
225 EMCCFLAGS += --memory-init-file 0 --embed-file share -s NO_EXIT_RUNTIME=1
226 EMCCFLAGS += -s EXPORTED_FUNCTIONS="['_main','_run','_prompt','_errmsg']"
227 EMCCFLAGS += -s TOTAL_MEMORY=128*1024*1024
228 # https://github.com/kripken/emscripten/blob/master/src/settings.js
229 CXXFLAGS += $(EMCCFLAGS)
230 LDFLAGS += $(EMCCFLAGS)
231 LDLIBS =
232 EXE = .js
233
234 TARGETS := $(filter-out yosys-config,$(TARGETS))
235 EXTRA_TARGETS += yosysjs-$(YOSYS_VER).zip
236
237 ifeq ($(ENABLE_ABC),1)
238 LINK_ABC := 1
239 DISABLE_ABC_THREADS := 1
240 endif
241
242 viz.js:
243 wget -O viz.js.part https://github.com/mdaines/viz.js/releases/download/0.0.3/viz.js
244 mv viz.js.part viz.js
245
246 yosysjs-$(YOSYS_VER).zip: yosys.js viz.js misc/yosysjs/*
247 rm -rf yosysjs-$(YOSYS_VER) yosysjs-$(YOSYS_VER).zip
248 mkdir -p yosysjs-$(YOSYS_VER)
249 cp viz.js misc/yosysjs/* yosys.js yosysjs-$(YOSYS_VER)/
250 zip -r yosysjs-$(YOSYS_VER).zip yosysjs-$(YOSYS_VER)
251
252 yosys.html: misc/yosys.html
253 $(P) cp misc/yosys.html yosys.html
254
255 else ifeq ($(CONFIG),mxe)
256 PKG_CONFIG = /usr/local/src/mxe/usr/bin/i686-w64-mingw32.static-pkg-config
257 CXX = /usr/local/src/mxe/usr/bin/i686-w64-mingw32.static-g++
258 LD = /usr/local/src/mxe/usr/bin/i686-w64-mingw32.static-g++
259 CXXFLAGS += -std=c++11 -Os -D_POSIX_SOURCE -DYOSYS_MXE_HACKS -Wno-attributes
260 CXXFLAGS := $(filter-out -fPIC,$(CXXFLAGS))
261 LDFLAGS := $(filter-out -rdynamic,$(LDFLAGS)) -s
262 LDLIBS := $(filter-out -lrt,$(LDLIBS))
263 ABCMKARGS += ARCHFLAGS="-DWIN32_NO_DLL -DHAVE_STRUCT_TIMESPEC -fpermissive -w"
264 ABCMKARGS += LIBS="lib/x86/pthreadVC2.lib -s" ABC_USE_NO_READLINE=1 CC="/usr/local/src/mxe/usr/bin/i686-w64-mingw32.static-gcc"
265 EXE = .exe
266
267 else ifeq ($(CONFIG),msys2)
268 CXX = i686-w64-mingw32-g++
269 LD = i686-w64-mingw32-g++
270 CXXFLAGS += -std=c++11 -Os -D_POSIX_SOURCE -DYOSYS_WIN32_UNIX_DIR
271 CXXFLAGS := $(filter-out -fPIC,$(CXXFLAGS))
272 LDFLAGS := $(filter-out -rdynamic,$(LDFLAGS)) -s
273 LDLIBS := $(filter-out -lrt,$(LDLIBS))
274 ABCMKARGS += ARCHFLAGS="-DABC_USE_STDINT_H -DWIN32_NO_DLL -DHAVE_STRUCT_TIMESPEC -fpermissive -w"
275 ABCMKARGS += LIBS="-lpthread -s" ABC_USE_NO_READLINE=0 CC="i686-w64-mingw32-gcc" CXX="$(CXX)"
276 EXE = .exe
277
278 else ifeq ($(CONFIG),msys2-64)
279 CXX = x86_64-w64-mingw32-g++
280 LD = x86_64-w64-mingw32-g++
281 CXXFLAGS += -std=c++11 -Os -D_POSIX_SOURCE -DYOSYS_WIN32_UNIX_DIR
282 CXXFLAGS := $(filter-out -fPIC,$(CXXFLAGS))
283 LDFLAGS := $(filter-out -rdynamic,$(LDFLAGS)) -s
284 LDLIBS := $(filter-out -lrt,$(LDLIBS))
285 ABCMKARGS += ARCHFLAGS="-DABC_USE_STDINT_H -DWIN32_NO_DLL -DHAVE_STRUCT_TIMESPEC -fpermissive -w"
286 ABCMKARGS += LIBS="-lpthread -s" ABC_USE_NO_READLINE=0 CC="x86_64-w64-mingw32-gcc" CXX="$(CXX)"
287 EXE = .exe
288
289 else ifneq ($(CONFIG),none)
290 $(error Invalid CONFIG setting '$(CONFIG)'. Valid values: clang, gcc, gcc-4.8, emcc, mxe, msys2, msys2-64)
291 endif
292
293 ifeq ($(ENABLE_LIBYOSYS),1)
294 TARGETS += libyosys.so
295 endif
296
297 ifeq ($(ENABLE_PYOSYS),1)
298
299 #Detect name of boost_python library. Some distros usbe boost_python-py<version>, other boost_python<version>, some only use the major version number, some a concatenation of major and minor version numbers
300 ifeq ($(OS), Darwin)
301 BOOST_PYTHON_LIB ?= $(shell \
302 if echo "int main(int argc, char ** argv) {return 0;}" | $(CXX) -xc -o /dev/null $(shell $(PYTHON_EXECUTABLE)-config --ldflags) -lboost_python-py$(subst .,,$(PYTHON_VERSION)) - > /dev/null 2>&1; then echo "-lboost_python-py$(subst .,,$(PYTHON_VERSION))"; else \
303 if echo "int main(int argc, char ** argv) {return 0;}" | $(CXX) -xc -o /dev/null $(shell $(PYTHON_EXECUTABLE)-config --ldflags) -lboost_python-py$(subst .,,$(PYTHON_MAJOR_VERSION)) - > /dev/null 2>&1; then echo "-lboost_python-py$(subst .,,$(PYTHON_MAJOR_VERSION))"; else \
304 if echo "int main(int argc, char ** argv) {return 0;}" | $(CXX) -xc -o /dev/null $(shell $(PYTHON_EXECUTABLE)-config --ldflags) -lboost_python$(subst .,,$(PYTHON_VERSION)) - > /dev/null 2>&1; then echo "-lboost_python$(subst .,,$(PYTHON_VERSION))"; else \
305 if echo "int main(int argc, char ** argv) {return 0;}" | $(CXX) -xc -o /dev/null $(shell $(PYTHON_EXECUTABLE)-config --ldflags) -lboost_python$(subst .,,$(PYTHON_MAJOR_VERSION)) - > /dev/null 2>&1; then echo "-lboost_python$(subst .,,$(PYTHON_MAJOR_VERSION))"; else \
306 echo ""; fi; fi; fi; fi;)
307 else
308 BOOST_PYTHON_LIB ?= $(shell \
309 if echo "int main(int argc, char ** argv) {return 0;}" | $(CXX) -xc -o /dev/null `$(PYTHON_EXECUTABLE)-config --libs` -lboost_python-py$(subst .,,$(PYTHON_VERSION)) - > /dev/null 2>&1; then echo "-lboost_python-py$(subst .,,$(PYTHON_VERSION))"; else \
310 if echo "int main(int argc, char ** argv) {return 0;}" | $(CXX) -xc -o /dev/null `$(PYTHON_EXECUTABLE)-config --libs` -lboost_python-py$(subst .,,$(PYTHON_MAJOR_VERSION)) - > /dev/null 2>&1; then echo "-lboost_python-py$(subst .,,$(PYTHON_MAJOR_VERSION))"; else \
311 if echo "int main(int argc, char ** argv) {return 0;}" | $(CXX) -xc -o /dev/null `$(PYTHON_EXECUTABLE)-config --libs` -lboost_python$(subst .,,$(PYTHON_VERSION)) - > /dev/null 2>&1; then echo "-lboost_python$(subst .,,$(PYTHON_VERSION))"; else \
312 if echo "int main(int argc, char ** argv) {return 0;}" | $(CXX) -xc -o /dev/null `$(PYTHON_EXECUTABLE)-config --libs` -lboost_python$(subst .,,$(PYTHON_MAJOR_VERSION)) - > /dev/null 2>&1; then echo "-lboost_python$(subst .,,$(PYTHON_MAJOR_VERSION))"; else \
313 echo ""; fi; fi; fi; fi;)
314 endif
315
316 ifeq ($(BOOST_PYTHON_LIB),)
317 $(error BOOST_PYTHON_LIB could not be detected. Please define manualy)
318 endif
319
320 ifeq ($(OS), Darwin)
321 ifeq ($(PYTHON_MAJOR_VERSION),3)
322 LDLIBS += $(shell $(PYTHON_EXECUTABLE)-config --ldflags) $(BOOST_PYTHON_LIB) -lboost_system -lboost_filesystem
323 CXXFLAGS += $(shell $(PYTHON_EXECUTABLE)-config --includes) -DWITH_PYTHON
324 else
325 LDLIBS += $(shell $(PYTHON_EXECUTABLE)-config --ldflags) $(BOOST_PYTHON_LIB) -lboost_system -lboost_filesystem
326 CXXFLAGS += $(shell $(PYTHON_EXECUTABLE)-config --includes) -DWITH_PYTHON
327 endif
328 else
329 ifeq ($(PYTHON_MAJOR_VERSION),3)
330 LDLIBS += $(shell $(PYTHON_EXECUTABLE)-config --libs) $(BOOST_PYTHON_LIB) -lboost_system -lboost_filesystem
331 CXXFLAGS += $(shell $(PYTHON_EXECUTABLE)-config --includes) -DWITH_PYTHON
332 else
333 LDLIBS += $(shell $(PYTHON_EXECUTABLE)-config --libs) $(BOOST_PYTHON_LIB) -lboost_system -lboost_filesystem
334 CXXFLAGS += $(shell $(PYTHON_EXECUTABLE)-config --includes) -DWITH_PYTHON
335 endif
336 endif
337
338 ifeq ($(ENABLE_PYOSYS),1)
339 PY_WRAPPER_FILE = kernel/python_wrappers
340 OBJS += $(PY_WRAPPER_FILE).o
341 PY_GEN_SCRIPT= py_wrap_generator
342 PY_WRAP_INCLUDES := $(shell python$(PYTHON_VERSION) -c "from misc import $(PY_GEN_SCRIPT); $(PY_GEN_SCRIPT).print_includes()")
343 endif
344 endif
345
346 ifeq ($(ENABLE_READLINE),1)
347 CXXFLAGS += -DYOSYS_ENABLE_READLINE
348 ifeq ($(OS), FreeBSD)
349 CXXFLAGS += -I/usr/local/include
350 endif
351 LDLIBS += -lreadline
352 ifeq ($(LINK_CURSES),1)
353 LDLIBS += -lcurses
354 ABCMKARGS += "ABC_READLINE_LIBRARIES=-lcurses -lreadline"
355 endif
356 ifeq ($(LINK_TERMCAP),1)
357 LDLIBS += -ltermcap
358 ABCMKARGS += "ABC_READLINE_LIBRARIES=-lreadline -ltermcap"
359 endif
360 ifeq ($(CONFIG),mxe)
361 LDLIBS += -ltermcap
362 endif
363 else
364 ifeq ($(ENABLE_EDITLINE),1)
365 CXXFLAGS += -DYOSYS_ENABLE_EDITLINE
366 LDLIBS += -ledit -ltinfo -lbsd
367 else
368 ABCMKARGS += "ABC_USE_NO_READLINE=1"
369 endif
370 endif
371
372 ifeq ($(DISABLE_ABC_THREADS),1)
373 ABCMKARGS += "ABC_USE_NO_PTHREADS=1"
374 endif
375
376 ifeq ($(ENABLE_PLUGINS),1)
377 CXXFLAGS += $(shell PKG_CONFIG_PATH=$(PKG_CONFIG_PATH) $(PKG_CONFIG) --silence-errors --cflags libffi) -DYOSYS_ENABLE_PLUGINS
378 LDLIBS += $(shell PKG_CONFIG_PATH=$(PKG_CONFIG_PATH) $(PKG_CONFIG) --silence-errors --libs libffi || echo -lffi)
379 ifneq ($(OS), FreeBSD)
380 LDLIBS += -ldl
381 endif
382 endif
383
384 ifeq ($(ENABLE_GLOB),1)
385 CXXFLAGS += -DYOSYS_ENABLE_GLOB
386 endif
387
388 ifeq ($(ENABLE_ZLIB),1)
389 CXXFLAGS += -DYOSYS_ENABLE_ZLIB
390 LDLIBS += -lz
391 endif
392
393
394 ifeq ($(ENABLE_TCL),1)
395 TCL_VERSION ?= tcl$(shell bash -c "tclsh <(echo 'puts [info tclversion]')")
396 ifeq ($(OS), FreeBSD)
397 TCL_INCLUDE ?= /usr/local/include/$(TCL_VERSION)
398 else
399 TCL_INCLUDE ?= /usr/include/$(TCL_VERSION)
400 endif
401
402 ifeq ($(CONFIG),mxe)
403 CXXFLAGS += -DYOSYS_ENABLE_TCL
404 LDLIBS += -ltcl86 -lwsock32 -lws2_32 -lnetapi32 -lz
405 else
406 CXXFLAGS += $(shell PKG_CONFIG_PATH=$(PKG_CONFIG_PATH) $(PKG_CONFIG) --silence-errors --cflags tcl || echo -I$(TCL_INCLUDE)) -DYOSYS_ENABLE_TCL
407 ifeq ($(OS), FreeBSD)
408 # FreeBSD uses tcl8.6, but lib is named "libtcl86"
409 LDLIBS += $(shell PKG_CONFIG_PATH=$(PKG_CONFIG_PATH) $(PKG_CONFIG) --silence-errors --libs tcl || echo -l$(TCL_VERSION) | tr -d '.')
410 else
411 LDLIBS += $(shell PKG_CONFIG_PATH=$(PKG_CONFIG_PATH) $(PKG_CONFIG) --silence-errors --libs tcl || echo -l$(TCL_VERSION))
412 endif
413 endif
414 endif
415
416 ifeq ($(ENABLE_GCOV),1)
417 CXXFLAGS += --coverage
418 LDFLAGS += --coverage
419 endif
420
421 ifeq ($(ENABLE_GPROF),1)
422 CXXFLAGS += -pg
423 LDFLAGS += -pg
424 endif
425
426 ifeq ($(ENABLE_NDEBUG),1)
427 CXXFLAGS := -O3 -DNDEBUG $(filter-out -Os -ggdb,$(CXXFLAGS))
428 endif
429
430 ifeq ($(ENABLE_DEBUG),1)
431 ifeq ($(CONFIG),clang)
432 CXXFLAGS := -O0 -DDEBUG $(filter-out -Os,$(CXXFLAGS))
433 else
434 CXXFLAGS := -Og -DDEBUG $(filter-out -Os,$(CXXFLAGS))
435 endif
436 endif
437
438 ifeq ($(ENABLE_ABC),1)
439 CXXFLAGS += -DYOSYS_ENABLE_ABC
440 ifeq ($(LINK_ABC),1)
441 CXXFLAGS += -DYOSYS_LINK_ABC
442 ifeq ($(DISABLE_ABC_THREADS),0)
443 LDLIBS += -lpthread
444 endif
445 else
446 ifeq ($(ABCEXTERNAL),)
447 TARGETS += yosys-abc$(EXE)
448 endif
449 endif
450 endif
451
452 ifeq ($(ENABLE_VERIFIC),1)
453 VERIFIC_DIR ?= /usr/local/src/verific_lib
454 VERIFIC_COMPONENTS ?= verilog vhdl database util containers hier_tree
455 CXXFLAGS += $(patsubst %,-I$(VERIFIC_DIR)/%,$(VERIFIC_COMPONENTS)) -DYOSYS_ENABLE_VERIFIC
456 ifeq ($(OS), Darwin)
457 LDLIBS += $(patsubst %,$(VERIFIC_DIR)/%/*-mac.a,$(VERIFIC_COMPONENTS)) -lz
458 else
459 LDLIBS += $(patsubst %,$(VERIFIC_DIR)/%/*-linux.a,$(VERIFIC_COMPONENTS)) -lz
460 endif
461 endif
462
463 ifeq ($(ENABLE_PROTOBUF),1)
464 LDLIBS += $(shell pkg-config --cflags --libs protobuf)
465 endif
466
467 ifeq ($(ENABLE_COVER),1)
468 CXXFLAGS += -DYOSYS_ENABLE_COVER
469 endif
470
471 define add_share_file
472 EXTRA_TARGETS += $(subst //,/,$(1)/$(notdir $(2)))
473 $(subst //,/,$(1)/$(notdir $(2))): $(2)
474 $$(P) mkdir -p $(1)
475 $$(Q) cp "$(YOSYS_SRC)"/$(2) $(subst //,/,$(1)/$(notdir $(2)))
476 endef
477
478 define add_gen_share_file
479 EXTRA_TARGETS += $(subst //,/,$(1)/$(notdir $(2)))
480 $(subst //,/,$(1)/$(notdir $(2))): $(2)
481 $$(P) mkdir -p $(1)
482 $$(Q) cp $(2) $(subst //,/,$(1)/$(notdir $(2)))
483 endef
484
485 define add_include_file
486 $(eval $(call add_share_file,$(dir share/include/$(1)),$(1)))
487 endef
488
489 ifeq ($(PRETTY), 1)
490 P_STATUS = 0
491 P_OFFSET = 0
492 P_UPDATE = $(eval P_STATUS=$(shell echo $(OBJS) yosys$(EXE) | $(AWK) 'BEGIN { RS = " "; I = $(P_STATUS)+0; } $$1 == "$@" && NR > I { I = NR; } END { print I; }'))
493 P_SHOW = [$(shell $(AWK) "BEGIN { N=$(words $(OBJS) yosys$(EXE)); printf \"%3d\", $(P_OFFSET)+90*$(P_STATUS)/N; exit; }")%]
494 P = @echo "$(if $(findstring $@,$(TARGETS) $(EXTRA_TARGETS)),$(eval P_OFFSET = 10))$(call P_UPDATE)$(call P_SHOW) Building $@";
495 Q = @
496 S = -s
497 else
498 P_SHOW = ->
499 P =
500 Q =
501 S =
502 endif
503
504 $(eval $(call add_include_file,kernel/yosys.h))
505 $(eval $(call add_include_file,kernel/hashlib.h))
506 $(eval $(call add_include_file,kernel/log.h))
507 $(eval $(call add_include_file,kernel/rtlil.h))
508 $(eval $(call add_include_file,kernel/register.h))
509 $(eval $(call add_include_file,kernel/celltypes.h))
510 $(eval $(call add_include_file,kernel/celledges.h))
511 $(eval $(call add_include_file,kernel/consteval.h))
512 $(eval $(call add_include_file,kernel/sigtools.h))
513 $(eval $(call add_include_file,kernel/modtools.h))
514 $(eval $(call add_include_file,kernel/macc.h))
515 $(eval $(call add_include_file,kernel/utils.h))
516 $(eval $(call add_include_file,kernel/satgen.h))
517 $(eval $(call add_include_file,libs/ezsat/ezsat.h))
518 $(eval $(call add_include_file,libs/ezsat/ezminisat.h))
519 $(eval $(call add_include_file,libs/sha1/sha1.h))
520 $(eval $(call add_include_file,passes/fsm/fsmdata.h))
521 $(eval $(call add_include_file,frontends/ast/ast.h))
522 $(eval $(call add_include_file,backends/ilang/ilang_backend.h))
523
524 OBJS += kernel/driver.o kernel/register.o kernel/rtlil.o kernel/log.o kernel/calc.o kernel/yosys.o
525 OBJS += kernel/cellaigs.o kernel/celledges.o
526
527 kernel/log.o: CXXFLAGS += -DYOSYS_SRC='"$(YOSYS_SRC)"'
528 kernel/yosys.o: CXXFLAGS += -DYOSYS_DATDIR='"$(DATDIR)"'
529
530 OBJS += libs/bigint/BigIntegerAlgorithms.o libs/bigint/BigInteger.o libs/bigint/BigIntegerUtils.o
531 OBJS += libs/bigint/BigUnsigned.o libs/bigint/BigUnsignedInABase.o
532
533 OBJS += libs/sha1/sha1.o
534
535 ifneq ($(SMALL),1)
536
537 OBJS += libs/subcircuit/subcircuit.o
538
539 OBJS += libs/ezsat/ezsat.o
540 OBJS += libs/ezsat/ezminisat.o
541
542 OBJS += libs/minisat/Options.o
543 OBJS += libs/minisat/SimpSolver.o
544 OBJS += libs/minisat/Solver.o
545 OBJS += libs/minisat/System.o
546
547 include $(YOSYS_SRC)/frontends/*/Makefile.inc
548 include $(YOSYS_SRC)/passes/*/Makefile.inc
549 include $(YOSYS_SRC)/backends/*/Makefile.inc
550 include $(YOSYS_SRC)/techlibs/*/Makefile.inc
551
552 else
553
554 include frontends/verilog/Makefile.inc
555 include frontends/ilang/Makefile.inc
556 include frontends/ast/Makefile.inc
557 include frontends/blif/Makefile.inc
558
559 OBJS += passes/hierarchy/hierarchy.o
560 OBJS += passes/cmds/select.o
561 OBJS += passes/cmds/show.o
562 OBJS += passes/cmds/stat.o
563 OBJS += passes/cmds/cover.o
564 OBJS += passes/cmds/design.o
565 OBJS += passes/cmds/plugin.o
566
567 include passes/proc/Makefile.inc
568 include passes/opt/Makefile.inc
569 include passes/techmap/Makefile.inc
570
571 include backends/verilog/Makefile.inc
572 include backends/ilang/Makefile.inc
573
574 include techlibs/common/Makefile.inc
575
576 endif
577
578 ifeq ($(LINK_ABC),1)
579 OBJS += yosys-libabc.a
580 endif
581
582 top-all: $(TARGETS) $(EXTRA_TARGETS)
583 @echo ""
584 @echo " Build successful."
585 @echo ""
586
587 ifeq ($(CONFIG),emcc)
588 yosys.js: $(filter-out yosysjs-$(YOSYS_VER).zip,$(EXTRA_TARGETS))
589 endif
590
591 yosys$(EXE): $(OBJS)
592 $(P) $(LD) -o yosys$(EXE) $(LDFLAGS) $(OBJS) $(LDLIBS)
593
594 libyosys.so: $(filter-out kernel/driver.o,$(OBJS))
595 ifeq ($(OS), Darwin)
596 $(P) $(LD) -o libyosys.so -shared -Wl,-install_name,libyosys.so $(LDFLAGS) $^ $(LDLIBS)
597 else
598 $(P) $(LD) -o libyosys.so -shared -Wl,-soname,libyosys.so $(LDFLAGS) $^ $(LDLIBS)
599 endif
600
601 %.o: %.cc
602 $(Q) mkdir -p $(dir $@)
603 $(P) $(CXX) -o $@ -c $(CPPFLAGS) $(CXXFLAGS) $<
604
605 %.pyh: %.h
606 $(Q) mkdir -p $(dir $@)
607 $(P) cat $< | grep -E -v "#[ ]*(include|error)" | $(LD) -x c++ -o $@ -E -P -
608
609 ifeq ($(ENABLE_PYOSYS),1)
610 $(PY_WRAPPER_FILE).cc: misc/$(PY_GEN_SCRIPT).py $(PY_WRAP_INCLUDES)
611 $(Q) mkdir -p $(dir $@)
612 $(P) python$(PYTHON_VERSION) -c "from misc import $(PY_GEN_SCRIPT); $(PY_GEN_SCRIPT).gen_wrappers(\"$(PY_WRAPPER_FILE).cc\")"
613 endif
614
615 %.o: %.cpp
616 $(Q) mkdir -p $(dir $@)
617 $(P) $(CXX) -o $@ -c $(CPPFLAGS) $(CXXFLAGS) $<
618
619 YOSYS_VER_STR := Yosys $(YOSYS_VER) (git sha1 $(GIT_REV), $(notdir $(CXX)) $(shell \
620 $(CXX) --version | tr ' ()' '\n' | grep '^[0-9]' | head -n1) $(filter -f% -m% -O% -DNDEBUG,$(CXXFLAGS)))
621
622 kernel/version_$(GIT_REV).cc: $(YOSYS_SRC)/Makefile
623 $(P) rm -f kernel/version_*.o kernel/version_*.d kernel/version_*.cc
624 $(Q) mkdir -p kernel && echo "namespace Yosys { extern const char *yosys_version_str; const char *yosys_version_str=\"$(YOSYS_VER_STR)\"; }" > kernel/version_$(GIT_REV).cc
625
626 ifeq ($(ENABLE_VERIFIC),1)
627 CXXFLAGS_NOVERIFIC = $(foreach v,$(CXXFLAGS),$(if $(findstring $(VERIFIC_DIR),$(v)),,$(v)))
628 LDLIBS_NOVERIFIC = $(foreach v,$(LDLIBS),$(if $(findstring $(VERIFIC_DIR),$(v)),,$(v)))
629 else
630 CXXFLAGS_NOVERIFIC = $(CXXFLAGS)
631 LDLIBS_NOVERIFIC = $(LDLIBS)
632 endif
633
634 yosys-config: misc/yosys-config.in
635 $(P) $(SED) -e 's#@CXXFLAGS@#$(subst -I. -I"$(YOSYS_SRC)",-I"$(DATDIR)/include",$(strip $(CXXFLAGS_NOVERIFIC)))#;' \
636 -e 's#@CXX@#$(strip $(CXX))#;' -e 's#@LDFLAGS@#$(strip $(LDFLAGS) $(PLUGIN_LDFLAGS))#;' -e 's#@LDLIBS@#$(strip $(LDLIBS_NOVERIFIC))#;' \
637 -e 's#@BINDIR@#$(strip $(BINDIR))#;' -e 's#@DATDIR@#$(strip $(DATDIR))#;' < $< > yosys-config
638 $(Q) chmod +x yosys-config
639
640 abc/abc-$(ABCREV)$(EXE) abc/libabc-$(ABCREV).a:
641 $(P)
642 ifneq ($(ABCREV),default)
643 $(Q) if test -d abc/.hg; then \
644 echo 'REEBE: NOP qverpgbel vf n ut jbexvat pbcl! Erzbir nop/ naq er-eha "znxr".' | tr 'A-Za-z' 'N-ZA-Mn-za-m'; false; \
645 fi
646 $(Q) if ( cd abc 2> /dev/null && ! git diff-index --quiet HEAD; ); then \
647 echo 'REEBE: NOP pbagnvaf ybpny zbqvsvpngvbaf! Frg NOPERI=qrsnhyg va Lbflf Znxrsvyr!' | tr 'A-Za-z' 'N-ZA-Mn-za-m'; false; \
648 fi
649 $(Q) if test "`cd abc 2> /dev/null && git rev-parse --short HEAD`" != "$(ABCREV)"; then \
650 test $(ABCPULL) -ne 0 || { echo 'REEBE: NOP abg hc gb qngr naq NOPCHYY frg gb 0 va Znxrsvyr!' | tr 'A-Za-z' 'N-ZA-Mn-za-m'; exit 1; }; \
651 echo "Pulling ABC from $(ABCURL):"; set -x; \
652 test -d abc || git clone $(ABCURL) abc; \
653 cd abc && $(MAKE) DEP= clean && git fetch origin master && git checkout $(ABCREV); \
654 fi
655 endif
656 $(Q) rm -f abc/abc-[0-9a-f]*
657 $(Q) cd abc && $(MAKE) $(S) $(ABCMKARGS) $(if $(filter %.a,$@),PROG="abc-$(ABCREV)",PROG="abc-$(ABCREV)$(EXE)") MSG_PREFIX="$(eval P_OFFSET = 5)$(call P_SHOW)$(eval P_OFFSET = 10) ABC: " $(if $(filter %.a,$@),libabc-$(ABCREV).a)
658
659 ifeq ($(ABCREV),default)
660 .PHONY: abc/abc-$(ABCREV)$(EXE)
661 .PHONY: abc/libabc-$(ABCREV).a
662 endif
663
664 yosys-abc$(EXE): abc/abc-$(ABCREV)$(EXE)
665 $(P) cp abc/abc-$(ABCREV)$(EXE) yosys-abc$(EXE)
666
667 yosys-libabc.a: abc/libabc-$(ABCREV).a
668 $(P) cp abc/libabc-$(ABCREV).a yosys-libabc.a
669
670 ifneq ($(SEED),)
671 SEEDOPT="-S $(SEED)"
672 else
673 SEEDOPT=""
674 endif
675
676 ifneq ($(ABCEXTERNAL),)
677 ABCOPT="-A $(ABCEXTERNAL)"
678 else
679 ABCOPT=""
680 endif
681
682 test: $(TARGETS) $(EXTRA_TARGETS)
683 +cd tests/simple && bash run-test.sh $(SEEDOPT)
684 +cd tests/hana && bash run-test.sh $(SEEDOPT)
685 +cd tests/asicworld && bash run-test.sh $(SEEDOPT)
686 # +cd tests/realmath && bash run-test.sh $(SEEDOPT)
687 +cd tests/share && bash run-test.sh $(SEEDOPT)
688 +cd tests/fsm && bash run-test.sh $(SEEDOPT)
689 +cd tests/techmap && bash run-test.sh
690 +cd tests/memories && bash run-test.sh $(ABCOPT) $(SEEDOPT)
691 +cd tests/bram && bash run-test.sh $(SEEDOPT)
692 +cd tests/various && bash run-test.sh
693 +cd tests/sat && bash run-test.sh
694 +cd tests/svinterfaces && bash run-test.sh $(SEEDOPT)
695 +cd tests/opt && bash run-test.sh
696 +cd tests/aiger && bash run-test.sh $(ABCOPT)
697 +cd tests/arch && bash run-test.sh
698 +cd tests/simple_abc9 && bash run-test.sh $(SEEDOPT)
699 @echo ""
700 @echo " Passed \"make test\"."
701 @echo ""
702
703 VALGRIND ?= valgrind --error-exitcode=1 --leak-check=full --show-reachable=yes --errors-for-leak-kinds=all
704
705 vgtest: $(TARGETS) $(EXTRA_TARGETS)
706 $(VALGRIND) ./yosys -p 'setattr -mod -unset top; synth' $$( ls tests/simple/*.v | grep -v repwhile.v )
707 @echo ""
708 @echo " Passed \"make vgtest\"."
709 @echo ""
710
711 vloghtb: $(TARGETS) $(EXTRA_TARGETS)
712 +cd tests/vloghtb && bash run-test.sh
713 @echo ""
714 @echo " Passed \"make vloghtb\"."
715 @echo ""
716
717 ystests: $(TARGETS) $(EXTRA_TARGETS)
718 rm -rf tests/ystests
719 git clone https://github.com/YosysHQ/yosys-tests.git tests/ystests
720 +$(MAKE) PATH="$$PWD:$$PATH" -C tests/ystests
721 @echo ""
722 @echo " Finished \"make ystests\"."
723 @echo ""
724
725 # Unit test
726 unit-test: libyosys.so
727 @$(MAKE) -C $(UNITESTPATH) CXX="$(CXX)" CPPFLAGS="$(CPPFLAGS)" \
728 CXXFLAGS="$(CXXFLAGS)" LDLIBS="$(LDLIBS)" ROOTPATH="$(CURDIR)"
729
730 clean-unit-test:
731 @$(MAKE) -C $(UNITESTPATH) clean
732
733 install: $(TARGETS) $(EXTRA_TARGETS)
734 $(INSTALL_SUDO) mkdir -p $(DESTDIR)$(BINDIR)
735 $(INSTALL_SUDO) cp $(TARGETS) $(DESTDIR)$(BINDIR)
736 ifneq ($(filter yosys,$(TARGETS)),)
737 $(INSTALL_SUDO) $(STRIP) -S $(DESTDIR)$(BINDIR)/yosys
738 endif
739 ifneq ($(filter yosys-abc,$(TARGETS)),)
740 $(INSTALL_SUDO) $(STRIP) $(DESTDIR)$(BINDIR)/yosys-abc
741 endif
742 ifneq ($(filter yosys-filterlib,$(TARGETS)),)
743 $(INSTALL_SUDO) $(STRIP) $(DESTDIR)$(BINDIR)/yosys-filterlib
744 endif
745 $(INSTALL_SUDO) mkdir -p $(DESTDIR)$(DATDIR)
746 $(INSTALL_SUDO) cp -r share/. $(DESTDIR)$(DATDIR)/.
747 ifeq ($(ENABLE_LIBYOSYS),1)
748 $(INSTALL_SUDO) mkdir -p $(DESTDIR)$(LIBDIR)
749 $(INSTALL_SUDO) cp libyosys.so $(DESTDIR)$(LIBDIR)/
750 $(INSTALL_SUDO) $(STRIP) -S $(DESTDIR)$(LIBDIR)/libyosys.so
751 ifeq ($(ENABLE_PYOSYS),1)
752 $(INSTALL_SUDO) mkdir -p $(PYTHON_DESTDIR)/pyosys
753 $(INSTALL_SUDO) cp libyosys.so $(PYTHON_DESTDIR)/pyosys/
754 $(INSTALL_SUDO) cp misc/__init__.py $(PYTHON_DESTDIR)/pyosys/
755 endif
756 endif
757
758 uninstall:
759 $(INSTALL_SUDO) rm -vf $(addprefix $(DESTDIR)$(BINDIR)/,$(notdir $(TARGETS)))
760 $(INSTALL_SUDO) rm -rvf $(DESTDIR)$(DATDIR)
761 ifeq ($(ENABLE_LIBYOSYS),1)
762 $(INSTALL_SUDO) rm -vf $(DESTDIR)$(LIBDIR)/libyosys.so
763 ifeq ($(ENABLE_PYOSYS),1)
764 $(INSTALL_SUDO) rm -vf $(PYTHON_DESTDIR)/pyosys/libyosys.so
765 $(INSTALL_SUDO) rm -vf $(PYTHON_DESTDIR)/pyosys/__init__.py
766 $(INSTALL_SUDO) rmdir $(PYTHON_DESTDIR)/pyosys
767 endif
768 endif
769
770 update-manual: $(TARGETS) $(EXTRA_TARGETS)
771 cd manual && ../yosys -p 'help -write-tex-command-reference-manual'
772
773 manual: $(TARGETS) $(EXTRA_TARGETS)
774 cd manual && bash appnotes.sh
775 cd manual && bash presentation.sh
776 cd manual && bash manual.sh
777
778 clean:
779 rm -rf share
780 rm -rf kernel/*.pyh
781 if test -d manual; then cd manual && sh clean.sh; fi
782 rm -f $(OBJS) $(GENFILES) $(TARGETS) $(EXTRA_TARGETS) $(EXTRA_OBJS) $(PY_WRAP_INCLUDES) $(PY_WRAPPER_FILE).cc
783 rm -f kernel/version_*.o kernel/version_*.cc abc/abc-[0-9a-f]* abc/libabc-[0-9a-f]*.a
784 rm -f libs/*/*.d frontends/*/*.d passes/*/*.d backends/*/*.d kernel/*.d techlibs/*/*.d
785 rm -rf tests/asicworld/*.out tests/asicworld/*.log
786 rm -rf tests/hana/*.out tests/hana/*.log
787 rm -rf tests/simple/*.out tests/simple/*.log
788 rm -rf tests/memories/*.out tests/memories/*.log tests/memories/*.dmp
789 rm -rf tests/sat/*.log tests/techmap/*.log tests/various/*.log
790 rm -rf tests/bram/temp tests/fsm/temp tests/realmath/temp tests/share/temp tests/smv/temp
791 rm -rf vloghtb/Makefile vloghtb/refdat vloghtb/rtl vloghtb/scripts vloghtb/spec vloghtb/check_yosys vloghtb/vloghammer_tb.tar.bz2 vloghtb/temp vloghtb/log_test_*
792 rm -f tests/svinterfaces/*.log_stdout tests/svinterfaces/*.log_stderr tests/svinterfaces/dut_result.txt tests/svinterfaces/reference_result.txt tests/svinterfaces/a.out tests/svinterfaces/*_syn.v tests/svinterfaces/*.diff
793 rm -f tests/tools/cmp_tbdata
794
795 clean-abc:
796 $(MAKE) -C abc DEP= clean
797 rm -f yosys-abc$(EXE) yosys-libabc.a abc/abc-[0-9a-f]* abc/libabc-[0-9a-f]*.a
798
799 mrproper: clean
800 git clean -xdf
801
802 coverage:
803 ./yosys -qp 'help; help -all'
804 rm -rf coverage.info coverage_html
805 lcov --capture -d . --no-external -o coverage.info
806 genhtml coverage.info --output-directory coverage_html
807
808 qtcreator:
809 { for file in $(basename $(OBJS)); do \
810 for prefix in cc y l; do if [ -f $${file}.$${prefix} ]; then echo $$file.$${prefix}; fi; done \
811 done; find backends frontends kernel libs passes -type f \( -name '*.h' -o -name '*.hh' \); } > qtcreator.files
812 { echo .; find backends frontends kernel libs passes -type f \( -name '*.h' -o -name '*.hh' \) -printf '%h\n' | sort -u; } > qtcreator.includes
813 touch qtcreator.config qtcreator.creator
814
815 vcxsrc: $(GENFILES) $(EXTRA_TARGETS)
816 rm -rf yosys-win32-vcxsrc-$(YOSYS_VER){,.zip}
817 set -e; for f in `ls $(filter %.cc %.cpp,$(GENFILES)) $(addsuffix .cc,$(basename $(OBJS))) $(addsuffix .cpp,$(basename $(OBJS))) 2> /dev/null`; do \
818 echo "Analyse: $$f" >&2; cpp -std=c++11 -MM -I. -D_YOSYS_ $$f; done | sed 's,.*:,,; s,//*,/,g; s,/[^/]*/\.\./,/,g; y, \\,\n\n,;' | grep '^[^/]' | sort -u | grep -v kernel/version_ > srcfiles.txt
819 bash misc/create_vcxsrc.sh yosys-win32-vcxsrc $(YOSYS_VER) $(GIT_REV)
820 echo "namespace Yosys { extern const char *yosys_version_str; const char *yosys_version_str=\"Yosys (Version Information Unavailable)\"; }" > kernel/version.cc
821 zip yosys-win32-vcxsrc-$(YOSYS_VER)/genfiles.zip $(GENFILES) kernel/version.cc
822 zip -r yosys-win32-vcxsrc-$(YOSYS_VER).zip yosys-win32-vcxsrc-$(YOSYS_VER)/
823 rm -f srcfiles.txt kernel/version.cc
824
825 ifeq ($(CONFIG),mxe)
826 mxebin: $(TARGETS) $(EXTRA_TARGETS)
827 rm -rf yosys-win32-mxebin-$(YOSYS_VER){,.zip}
828 mkdir -p yosys-win32-mxebin-$(YOSYS_VER)
829 cp -r yosys.exe share/ yosys-win32-mxebin-$(YOSYS_VER)/
830 ifeq ($(ENABLE_ABC),1)
831 cp -r yosys-abc.exe abc/lib/x86/pthreadVC2.dll yosys-win32-mxebin-$(YOSYS_VER)/
832 endif
833 echo -en 'This is Yosys $(YOSYS_VER) for Win32.\r\n' > yosys-win32-mxebin-$(YOSYS_VER)/readme.txt
834 echo -en 'Documentation at http://www.clifford.at/yosys/.\r\n' >> yosys-win32-mxebin-$(YOSYS_VER)/readme.txt
835 zip -r yosys-win32-mxebin-$(YOSYS_VER).zip yosys-win32-mxebin-$(YOSYS_VER)/
836 endif
837
838 config-clean: clean
839 rm -f Makefile.conf
840
841 config-clang: clean
842 echo 'CONFIG := clang' > Makefile.conf
843
844 config-gcc: clean
845 echo 'CONFIG := gcc' > Makefile.conf
846
847 config-gcc-static: clean
848 echo 'CONFIG := gcc-static' > Makefile.conf
849 echo 'ENABLE_PLUGINS := 0' >> Makefile.conf
850 echo 'ENABLE_READLINE := 0' >> Makefile.conf
851 echo 'ENABLE_TCL := 0' >> Makefile.conf
852
853 config-gcc-4.8: clean
854 echo 'CONFIG := gcc-4.8' > Makefile.conf
855
856 config-afl-gcc: clean
857 echo 'CONFIG := afl-gcc' > Makefile.conf
858
859 config-emcc: clean
860 echo 'CONFIG := emcc' > Makefile.conf
861 echo 'ENABLE_TCL := 0' >> Makefile.conf
862 echo 'ENABLE_ABC := 0' >> Makefile.conf
863 echo 'ENABLE_PLUGINS := 0' >> Makefile.conf
864 echo 'ENABLE_READLINE := 0' >> Makefile.conf
865
866 config-mxe: clean
867 echo 'CONFIG := mxe' > Makefile.conf
868 echo 'ENABLE_PLUGINS := 0' >> Makefile.conf
869
870 config-msys2: clean
871 echo 'CONFIG := msys2' > Makefile.conf
872
873 config-msys2-64: clean
874 echo 'CONFIG := msys2-64' > Makefile.conf
875
876 config-cygwin: clean
877 echo 'CONFIG := cygwin' > Makefile.conf
878
879 config-gcov: clean
880 echo 'CONFIG := gcc' > Makefile.conf
881 echo 'ENABLE_GCOV := 1' >> Makefile.conf
882 echo 'ENABLE_DEBUG := 1' >> Makefile.conf
883
884 config-gprof: clean
885 echo 'CONFIG := gcc' > Makefile.conf
886 echo 'ENABLE_GPROF := 1' >> Makefile.conf
887
888 config-sudo:
889 echo "INSTALL_SUDO := sudo" >> Makefile.conf
890
891 echo-yosys-ver:
892 @echo "$(YOSYS_VER)"
893
894 echo-git-rev:
895 @echo "$(GIT_REV)"
896
897 -include libs/*/*.d
898 -include frontends/*/*.d
899 -include passes/*/*.d
900 -include backends/*/*.d
901 -include kernel/*.d
902 -include techlibs/*/*.d
903
904 .PHONY: all top-all abc test install install-abc manual clean mrproper qtcreator coverage vcxsrc mxebin
905 .PHONY: config-clean config-clang config-gcc config-gcc-static config-gcc-4.8 config-afl-gcc config-gprof config-sudo
906