Merge remote-tracking branch 'origin/master' into xaig_arrival
[yosys.git] / Makefile
1
2 CONFIG := clang
3 # CONFIG := gcc
4 # CONFIG := gcc-4.8
5 # CONFIG := afl-gcc
6 # CONFIG := emcc
7 # CONFIG := mxe
8 # CONFIG := msys2
9 # CONFIG := msys2-64
10
11 # features (the more the better)
12 ENABLE_TCL := 1
13 ENABLE_ABC := 1
14 ENABLE_GLOB := 1
15 ENABLE_PLUGINS := 1
16 ENABLE_READLINE := 1
17 ENABLE_EDITLINE := 0
18 ENABLE_VERIFIC := 0
19 ENABLE_COVER := 1
20 ENABLE_LIBYOSYS := 0
21 ENABLE_PROTOBUF := 0
22 ENABLE_ZLIB := 1
23
24 # python wrappers
25 ENABLE_PYOSYS := 0
26
27 # other configuration flags
28 ENABLE_GCOV := 0
29 ENABLE_GPROF := 0
30 ENABLE_DEBUG := 0
31 ENABLE_NDEBUG := 0
32 LINK_CURSES := 0
33 LINK_TERMCAP := 0
34 LINK_ABC := 0
35 # Needed for environments that don't have proper thread support (i.e. emscripten)
36 DISABLE_ABC_THREADS := 0
37
38 # clang sanitizers
39 SANITIZER =
40 # SANITIZER = address
41 # SANITIZER = memory
42 # SANITIZER = undefined
43 # SANITIZER = cfi
44
45
46 OS := $(shell uname -s)
47 PREFIX ?= /usr/local
48 INSTALL_SUDO :=
49
50 ifneq ($(wildcard Makefile.conf),)
51 include Makefile.conf
52 endif
53
54 BINDIR := $(PREFIX)/bin
55 LIBDIR := $(PREFIX)/lib
56 DATDIR := $(PREFIX)/share/yosys
57
58 EXE =
59 OBJS =
60 GENFILES =
61 EXTRA_OBJS =
62 EXTRA_TARGETS =
63 TARGETS = yosys$(EXE) yosys-config
64
65 PRETTY = 1
66 SMALL = 0
67
68 # Unit test
69 UNITESTPATH := tests/unit
70
71 all: top-all
72
73 YOSYS_SRC := $(dir $(firstword $(MAKEFILE_LIST)))
74 VPATH := $(YOSYS_SRC)
75
76 CXXFLAGS := $(CXXFLAGS) -Wall -Wextra -ggdb -I. -I"$(YOSYS_SRC)" -MD -D_YOSYS_ -fPIC -I$(PREFIX)/include
77 LDFLAGS := $(LDFLAGS) -L$(LIBDIR)
78 LDLIBS := $(LDLIBS) -lstdc++ -lm
79 PLUGIN_LDFLAGS :=
80
81 PKG_CONFIG ?= pkg-config
82 SED ?= sed
83 BISON ?= bison
84 STRIP ?= strip
85 AWK ?= awk
86
87 ifeq ($(OS), Darwin)
88 PLUGIN_LDFLAGS += -undefined dynamic_lookup
89
90 # homebrew search paths
91 ifneq ($(shell command -v brew),)
92 BREW_PREFIX := $(shell brew --prefix)/opt
93 $(info $$BREW_PREFIX is [${BREW_PREFIX}])
94 ifeq ($(ENABLE_PYOSYS),1)
95 CXXFLAGS += -I$(BREW_PREFIX)/boost/include/boost
96 LDFLAGS += -L$(BREW_PREFIX)/boost/lib
97 endif
98 CXXFLAGS += -I$(BREW_PREFIX)/readline/include
99 LDFLAGS += -L$(BREW_PREFIX)/readline/lib
100 PKG_CONFIG_PATH := $(BREW_PREFIX)/libffi/lib/pkgconfig:$(PKG_CONFIG_PATH)
101 PKG_CONFIG_PATH := $(BREW_PREFIX)/tcl-tk/lib/pkgconfig:$(PKG_CONFIG_PATH)
102 export PATH := $(BREW_PREFIX)/bison/bin:$(BREW_PREFIX)/gettext/bin:$(BREW_PREFIX)/flex/bin:$(PATH)
103
104 # macports search paths
105 else ifneq ($(shell command -v port),)
106 PORT_PREFIX := $(patsubst %/bin/port,%,$(shell command -v port))
107 CXXFLAGS += -I$(PORT_PREFIX)/include
108 LDFLAGS += -L$(PORT_PREFIX)/lib
109 PKG_CONFIG_PATH := $(PORT_PREFIX)/lib/pkgconfig:$(PKG_CONFIG_PATH)
110 export PATH := $(PORT_PREFIX)/bin:$(PATH)
111 endif
112
113 else
114 LDFLAGS += -rdynamic
115 LDLIBS += -lrt
116 endif
117
118 YOSYS_VER := 0.9+36
119 GIT_REV := $(shell cd $(YOSYS_SRC) && git rev-parse --short HEAD 2> /dev/null || echo UNKNOWN)
120 OBJS = kernel/version_$(GIT_REV).o
121
122 bumpversion:
123 sed -i "/^YOSYS_VER := / s/+[0-9][0-9]*$$/+`git log --oneline 8a4c6e6.. | wc -l`/;" Makefile
124
125 # set 'ABCREV = default' to use abc/ as it is
126 #
127 # Note: If you do ABC development, make sure that 'abc' in this directory
128 # is just a symlink to your actual ABC working directory, as 'make mrproper'
129 # will remove the 'abc' directory and you do not want to accidentally
130 # delete your work on ABC..
131 ABCREV = 5776ad0
132 ABCPULL = 1
133 ABCURL ?= https://github.com/berkeley-abc/abc
134 ABCMKARGS = CC="$(CXX)" CXX="$(CXX)" ABC_USE_LIBSTDCXX=1
135
136 # set ABCEXTERNAL = <abc-command> to use an external ABC instance
137 # Note: The in-tree ABC (yosys-abc) will not be installed when ABCEXTERNAL is set.
138 ABCEXTERNAL ?=
139
140 define newline
141
142
143 endef
144
145 ifneq ($(wildcard Makefile.conf),)
146 $(info $(subst $$--$$,$(newline),$(shell sed 's,^,[Makefile.conf] ,; s,$$,$$--$$,;' < Makefile.conf | tr -d '\n' | sed 's,\$$--\$$$$,,')))
147 include Makefile.conf
148 endif
149
150 ifeq ($(ENABLE_PYOSYS),1)
151 PYTHON_VERSION_TESTCODE := "import sys;t='{v[0]}.{v[1]}'.format(v=list(sys.version_info[:2]));print(t)"
152 PYTHON_EXECUTABLE := $(shell if python3 -c ""; then echo "python3"; else echo "python"; fi)
153 PYTHON_VERSION := $(shell $(PYTHON_EXECUTABLE) -c ""$(PYTHON_VERSION_TESTCODE)"")
154 PYTHON_MAJOR_VERSION := $(shell echo $(PYTHON_VERSION) | cut -f1 -d.)
155 PYTHON_PREFIX := $(shell $(PYTHON_EXECUTABLE)-config --prefix)
156 PYTHON_DESTDIR := $(PYTHON_PREFIX)/lib/python$(PYTHON_VERSION)/site-packages
157
158 # Reload Makefile.conf to override python specific variables if defined
159 ifneq ($(wildcard Makefile.conf),)
160 include Makefile.conf
161 endif
162
163 endif
164
165 ifeq ($(CONFIG),clang)
166 CXX = clang
167 LD = clang++
168 CXXFLAGS += -std=c++11 -Os
169 ABCMKARGS += ARCHFLAGS="-DABC_USE_STDINT_H"
170
171 ifneq ($(SANITIZER),)
172 $(info [Clang Sanitizer] $(SANITIZER))
173 CXXFLAGS += -g -O1 -fno-omit-frame-pointer -fno-optimize-sibling-calls -fsanitize=$(SANITIZER)
174 LDFLAGS += -g -fsanitize=$(SANITIZER)
175 ifeq ($(SANITIZER),address)
176 ENABLE_COVER := 0
177 endif
178 ifeq ($(SANITIZER),memory)
179 CXXFLAGS += -fPIE -fsanitize-memory-track-origins
180 LDFLAGS += -fPIE -fsanitize-memory-track-origins
181 endif
182 ifeq ($(SANITIZER),cfi)
183 CXXFLAGS += -flto
184 LDFLAGS += -flto
185 endif
186 endif
187
188 else ifeq ($(CONFIG),gcc)
189 CXX = gcc
190 LD = gcc
191 CXXFLAGS += -std=c++11 -Os
192 ABCMKARGS += ARCHFLAGS="-DABC_USE_STDINT_H"
193
194 else ifeq ($(CONFIG),gcc-static)
195 LD = $(CXX)
196 LDFLAGS := $(filter-out -rdynamic,$(LDFLAGS)) -static
197 LDLIBS := $(filter-out -lrt,$(LDLIBS))
198 CXXFLAGS := $(filter-out -fPIC,$(CXXFLAGS))
199 CXXFLAGS += -std=c++11 -Os
200 ABCMKARGS = CC="$(CC)" CXX="$(CXX)" LD="$(LD)" ABC_USE_LIBSTDCXX=1 LIBS="-lm -lpthread -static" OPTFLAGS="-O" \
201 ARCHFLAGS="-DABC_USE_STDINT_H -DABC_NO_DYNAMIC_LINKING=1 -Wno-unused-but-set-variable $(ARCHFLAGS)" ABC_USE_NO_READLINE=1
202 ifeq ($(DISABLE_ABC_THREADS),1)
203 ABCMKARGS += "ABC_USE_NO_PTHREADS=1"
204 endif
205
206 else ifeq ($(CONFIG),gcc-4.8)
207 CXX = gcc-4.8
208 LD = gcc-4.8
209 CXXFLAGS += -std=c++11 -Os
210 ABCMKARGS += ARCHFLAGS="-DABC_USE_STDINT_H"
211
212 else ifeq ($(CONFIG),afl-gcc)
213 CXX = AFL_QUIET=1 AFL_HARDEN=1 afl-gcc
214 LD = AFL_QUIET=1 AFL_HARDEN=1 afl-gcc
215 CXXFLAGS += -std=c++11 -Os
216 ABCMKARGS += ARCHFLAGS="-DABC_USE_STDINT_H"
217
218 else ifeq ($(CONFIG),cygwin)
219 CXX = gcc
220 LD = gcc
221 CXXFLAGS += -std=gnu++11 -Os
222 ABCMKARGS += ARCHFLAGS="-DABC_USE_STDINT_H"
223
224 else ifeq ($(CONFIG),emcc)
225 CXX = emcc
226 LD = emcc
227 CXXFLAGS := -std=c++11 $(filter-out -fPIC -ggdb,$(CXXFLAGS))
228 ABCMKARGS += ARCHFLAGS="-DABC_USE_STDINT_H -DABC_MEMALIGN=8"
229 EMCCFLAGS := -Os -Wno-warn-absolute-paths
230 EMCCFLAGS += --memory-init-file 0 --embed-file share -s NO_EXIT_RUNTIME=1
231 EMCCFLAGS += -s EXPORTED_FUNCTIONS="['_main','_run','_prompt','_errmsg']"
232 EMCCFLAGS += -s TOTAL_MEMORY=128*1024*1024
233 # https://github.com/kripken/emscripten/blob/master/src/settings.js
234 CXXFLAGS += $(EMCCFLAGS)
235 LDFLAGS += $(EMCCFLAGS)
236 LDLIBS =
237 EXE = .js
238
239 TARGETS := $(filter-out yosys-config,$(TARGETS))
240 EXTRA_TARGETS += yosysjs-$(YOSYS_VER).zip
241
242 ifeq ($(ENABLE_ABC),1)
243 LINK_ABC := 1
244 DISABLE_ABC_THREADS := 1
245 endif
246
247 viz.js:
248 wget -O viz.js.part https://github.com/mdaines/viz.js/releases/download/0.0.3/viz.js
249 mv viz.js.part viz.js
250
251 yosysjs-$(YOSYS_VER).zip: yosys.js viz.js misc/yosysjs/*
252 rm -rf yosysjs-$(YOSYS_VER) yosysjs-$(YOSYS_VER).zip
253 mkdir -p yosysjs-$(YOSYS_VER)
254 cp viz.js misc/yosysjs/* yosys.js yosysjs-$(YOSYS_VER)/
255 zip -r yosysjs-$(YOSYS_VER).zip yosysjs-$(YOSYS_VER)
256
257 yosys.html: misc/yosys.html
258 $(P) cp misc/yosys.html yosys.html
259
260 else ifeq ($(CONFIG),mxe)
261 PKG_CONFIG = /usr/local/src/mxe/usr/bin/i686-w64-mingw32.static-pkg-config
262 CXX = /usr/local/src/mxe/usr/bin/i686-w64-mingw32.static-g++
263 LD = /usr/local/src/mxe/usr/bin/i686-w64-mingw32.static-g++
264 CXXFLAGS += -std=c++11 -Os -D_POSIX_SOURCE -DYOSYS_MXE_HACKS -Wno-attributes
265 CXXFLAGS := $(filter-out -fPIC,$(CXXFLAGS))
266 LDFLAGS := $(filter-out -rdynamic,$(LDFLAGS)) -s
267 LDLIBS := $(filter-out -lrt,$(LDLIBS))
268 ABCMKARGS += ARCHFLAGS="-DWIN32_NO_DLL -DHAVE_STRUCT_TIMESPEC -fpermissive -w"
269 # TODO: Try to solve pthread linking issue in more appropriate way
270 ABCMKARGS += LIBS="lib/x86/pthreadVC2.lib -s" LDFLAGS="-Wl,--allow-multiple-definition" ABC_USE_NO_READLINE=1 CC="/usr/local/src/mxe/usr/bin/i686-w64-mingw32.static-gcc"
271 EXE = .exe
272
273 else ifeq ($(CONFIG),msys2)
274 CXX = i686-w64-mingw32-g++
275 LD = i686-w64-mingw32-g++
276 CXXFLAGS += -std=c++11 -Os -D_POSIX_SOURCE -DYOSYS_WIN32_UNIX_DIR
277 CXXFLAGS := $(filter-out -fPIC,$(CXXFLAGS))
278 LDFLAGS := $(filter-out -rdynamic,$(LDFLAGS)) -s
279 LDLIBS := $(filter-out -lrt,$(LDLIBS))
280 ABCMKARGS += ARCHFLAGS="-DABC_USE_STDINT_H -DWIN32_NO_DLL -DHAVE_STRUCT_TIMESPEC -fpermissive -w"
281 ABCMKARGS += LIBS="-lpthread -s" ABC_USE_NO_READLINE=0 CC="i686-w64-mingw32-gcc" CXX="$(CXX)"
282 EXE = .exe
283
284 else ifeq ($(CONFIG),msys2-64)
285 CXX = x86_64-w64-mingw32-g++
286 LD = x86_64-w64-mingw32-g++
287 CXXFLAGS += -std=c++11 -Os -D_POSIX_SOURCE -DYOSYS_WIN32_UNIX_DIR
288 CXXFLAGS := $(filter-out -fPIC,$(CXXFLAGS))
289 LDFLAGS := $(filter-out -rdynamic,$(LDFLAGS)) -s
290 LDLIBS := $(filter-out -lrt,$(LDLIBS))
291 ABCMKARGS += ARCHFLAGS="-DABC_USE_STDINT_H -DWIN32_NO_DLL -DHAVE_STRUCT_TIMESPEC -fpermissive -w"
292 ABCMKARGS += LIBS="-lpthread -s" ABC_USE_NO_READLINE=0 CC="x86_64-w64-mingw32-gcc" CXX="$(CXX)"
293 EXE = .exe
294
295 else ifneq ($(CONFIG),none)
296 $(error Invalid CONFIG setting '$(CONFIG)'. Valid values: clang, gcc, gcc-4.8, emcc, mxe, msys2, msys2-64)
297 endif
298
299 ifeq ($(ENABLE_LIBYOSYS),1)
300 TARGETS += libyosys.so
301 endif
302
303 ifeq ($(ENABLE_PYOSYS),1)
304
305 #Detect name of boost_python library. Some distros usbe boost_python-py<version>, other boost_python<version>, some only use the major version number, some a concatenation of major and minor version numbers
306 ifeq ($(OS), Darwin)
307 BOOST_PYTHON_LIB ?= $(shell \
308 if echo "int main(int argc, char ** argv) {return 0;}" | $(CXX) -xc -o /dev/null $(shell $(PYTHON_EXECUTABLE)-config --ldflags) -lboost_python-py$(subst .,,$(PYTHON_VERSION)) - > /dev/null 2>&1; then echo "-lboost_python-py$(subst .,,$(PYTHON_VERSION))"; else \
309 if echo "int main(int argc, char ** argv) {return 0;}" | $(CXX) -xc -o /dev/null $(shell $(PYTHON_EXECUTABLE)-config --ldflags) -lboost_python-py$(subst .,,$(PYTHON_MAJOR_VERSION)) - > /dev/null 2>&1; then echo "-lboost_python-py$(subst .,,$(PYTHON_MAJOR_VERSION))"; else \
310 if echo "int main(int argc, char ** argv) {return 0;}" | $(CXX) -xc -o /dev/null $(shell $(PYTHON_EXECUTABLE)-config --ldflags) -lboost_python$(subst .,,$(PYTHON_VERSION)) - > /dev/null 2>&1; then echo "-lboost_python$(subst .,,$(PYTHON_VERSION))"; else \
311 if echo "int main(int argc, char ** argv) {return 0;}" | $(CXX) -xc -o /dev/null $(shell $(PYTHON_EXECUTABLE)-config --ldflags) -lboost_python$(subst .,,$(PYTHON_MAJOR_VERSION)) - > /dev/null 2>&1; then echo "-lboost_python$(subst .,,$(PYTHON_MAJOR_VERSION))"; else \
312 echo ""; fi; fi; fi; fi;)
313 else
314 BOOST_PYTHON_LIB ?= $(shell \
315 if echo "int main(int argc, char ** argv) {return 0;}" | $(CXX) -xc -o /dev/null `$(PYTHON_EXECUTABLE)-config --libs` -lboost_python-py$(subst .,,$(PYTHON_VERSION)) - > /dev/null 2>&1; then echo "-lboost_python-py$(subst .,,$(PYTHON_VERSION))"; else \
316 if echo "int main(int argc, char ** argv) {return 0;}" | $(CXX) -xc -o /dev/null `$(PYTHON_EXECUTABLE)-config --libs` -lboost_python-py$(subst .,,$(PYTHON_MAJOR_VERSION)) - > /dev/null 2>&1; then echo "-lboost_python-py$(subst .,,$(PYTHON_MAJOR_VERSION))"; else \
317 if echo "int main(int argc, char ** argv) {return 0;}" | $(CXX) -xc -o /dev/null `$(PYTHON_EXECUTABLE)-config --libs` -lboost_python$(subst .,,$(PYTHON_VERSION)) - > /dev/null 2>&1; then echo "-lboost_python$(subst .,,$(PYTHON_VERSION))"; else \
318 if echo "int main(int argc, char ** argv) {return 0;}" | $(CXX) -xc -o /dev/null `$(PYTHON_EXECUTABLE)-config --libs` -lboost_python$(subst .,,$(PYTHON_MAJOR_VERSION)) - > /dev/null 2>&1; then echo "-lboost_python$(subst .,,$(PYTHON_MAJOR_VERSION))"; else \
319 echo ""; fi; fi; fi; fi;)
320 endif
321
322 ifeq ($(BOOST_PYTHON_LIB),)
323 $(error BOOST_PYTHON_LIB could not be detected. Please define manualy)
324 endif
325
326 ifeq ($(OS), Darwin)
327 ifeq ($(PYTHON_MAJOR_VERSION),3)
328 LDLIBS += $(shell $(PYTHON_EXECUTABLE)-config --ldflags) $(BOOST_PYTHON_LIB) -lboost_system -lboost_filesystem
329 CXXFLAGS += $(shell $(PYTHON_EXECUTABLE)-config --includes) -DWITH_PYTHON
330 else
331 LDLIBS += $(shell $(PYTHON_EXECUTABLE)-config --ldflags) $(BOOST_PYTHON_LIB) -lboost_system -lboost_filesystem
332 CXXFLAGS += $(shell $(PYTHON_EXECUTABLE)-config --includes) -DWITH_PYTHON
333 endif
334 else
335 ifeq ($(PYTHON_MAJOR_VERSION),3)
336 LDLIBS += $(shell $(PYTHON_EXECUTABLE)-config --libs) $(BOOST_PYTHON_LIB) -lboost_system -lboost_filesystem
337 CXXFLAGS += $(shell $(PYTHON_EXECUTABLE)-config --includes) -DWITH_PYTHON
338 else
339 LDLIBS += $(shell $(PYTHON_EXECUTABLE)-config --libs) $(BOOST_PYTHON_LIB) -lboost_system -lboost_filesystem
340 CXXFLAGS += $(shell $(PYTHON_EXECUTABLE)-config --includes) -DWITH_PYTHON
341 endif
342 endif
343
344 ifeq ($(ENABLE_PYOSYS),1)
345 PY_WRAPPER_FILE = kernel/python_wrappers
346 OBJS += $(PY_WRAPPER_FILE).o
347 PY_GEN_SCRIPT= py_wrap_generator
348 PY_WRAP_INCLUDES := $(shell python$(PYTHON_VERSION) -c "from misc import $(PY_GEN_SCRIPT); $(PY_GEN_SCRIPT).print_includes()")
349 endif
350 endif
351
352 ifeq ($(ENABLE_READLINE),1)
353 CXXFLAGS += -DYOSYS_ENABLE_READLINE
354 ifeq ($(OS), FreeBSD)
355 CXXFLAGS += -I/usr/local/include
356 endif
357 LDLIBS += -lreadline
358 ifeq ($(LINK_CURSES),1)
359 LDLIBS += -lcurses
360 ABCMKARGS += "ABC_READLINE_LIBRARIES=-lcurses -lreadline"
361 endif
362 ifeq ($(LINK_TERMCAP),1)
363 LDLIBS += -ltermcap
364 ABCMKARGS += "ABC_READLINE_LIBRARIES=-lreadline -ltermcap"
365 endif
366 ifeq ($(CONFIG),mxe)
367 LDLIBS += -ltermcap
368 endif
369 else
370 ifeq ($(ENABLE_EDITLINE),1)
371 CXXFLAGS += -DYOSYS_ENABLE_EDITLINE
372 LDLIBS += -ledit -ltinfo -lbsd
373 else
374 ABCMKARGS += "ABC_USE_NO_READLINE=1"
375 endif
376 endif
377
378 ifeq ($(DISABLE_ABC_THREADS),1)
379 ABCMKARGS += "ABC_USE_NO_PTHREADS=1"
380 endif
381
382 ifeq ($(ENABLE_PLUGINS),1)
383 CXXFLAGS += $(shell PKG_CONFIG_PATH=$(PKG_CONFIG_PATH) $(PKG_CONFIG) --silence-errors --cflags libffi) -DYOSYS_ENABLE_PLUGINS
384 LDLIBS += $(shell PKG_CONFIG_PATH=$(PKG_CONFIG_PATH) $(PKG_CONFIG) --silence-errors --libs libffi || echo -lffi)
385 ifneq ($(OS), FreeBSD)
386 LDLIBS += -ldl
387 endif
388 endif
389
390 ifeq ($(ENABLE_GLOB),1)
391 CXXFLAGS += -DYOSYS_ENABLE_GLOB
392 endif
393
394 ifeq ($(ENABLE_ZLIB),1)
395 CXXFLAGS += -DYOSYS_ENABLE_ZLIB
396 LDLIBS += -lz
397 endif
398
399
400 ifeq ($(ENABLE_TCL),1)
401 TCL_VERSION ?= tcl$(shell bash -c "tclsh <(echo 'puts [info tclversion]')")
402 ifeq ($(OS), FreeBSD)
403 TCL_INCLUDE ?= /usr/local/include/$(TCL_VERSION)
404 else
405 TCL_INCLUDE ?= /usr/include/$(TCL_VERSION)
406 endif
407
408 ifeq ($(CONFIG),mxe)
409 CXXFLAGS += -DYOSYS_ENABLE_TCL
410 LDLIBS += -ltcl86 -lwsock32 -lws2_32 -lnetapi32 -lz -luserenv
411 else
412 CXXFLAGS += $(shell PKG_CONFIG_PATH=$(PKG_CONFIG_PATH) $(PKG_CONFIG) --silence-errors --cflags tcl || echo -I$(TCL_INCLUDE)) -DYOSYS_ENABLE_TCL
413 ifeq ($(OS), FreeBSD)
414 # FreeBSD uses tcl8.6, but lib is named "libtcl86"
415 LDLIBS += $(shell PKG_CONFIG_PATH=$(PKG_CONFIG_PATH) $(PKG_CONFIG) --silence-errors --libs tcl || echo -l$(TCL_VERSION) | tr -d '.')
416 else
417 LDLIBS += $(shell PKG_CONFIG_PATH=$(PKG_CONFIG_PATH) $(PKG_CONFIG) --silence-errors --libs tcl || echo -l$(TCL_VERSION))
418 endif
419 endif
420 endif
421
422 ifeq ($(ENABLE_GCOV),1)
423 CXXFLAGS += --coverage
424 LDFLAGS += --coverage
425 endif
426
427 ifeq ($(ENABLE_GPROF),1)
428 CXXFLAGS += -pg
429 LDFLAGS += -pg
430 endif
431
432 ifeq ($(ENABLE_NDEBUG),1)
433 CXXFLAGS := -O3 -DNDEBUG $(filter-out -Os -ggdb,$(CXXFLAGS))
434 endif
435
436 ifeq ($(ENABLE_DEBUG),1)
437 ifeq ($(CONFIG),clang)
438 CXXFLAGS := -O0 -DDEBUG $(filter-out -Os,$(CXXFLAGS))
439 else
440 CXXFLAGS := -Og -DDEBUG $(filter-out -Os,$(CXXFLAGS))
441 endif
442 endif
443
444 ifeq ($(ENABLE_ABC),1)
445 CXXFLAGS += -DYOSYS_ENABLE_ABC
446 ifeq ($(LINK_ABC),1)
447 CXXFLAGS += -DYOSYS_LINK_ABC
448 ifeq ($(DISABLE_ABC_THREADS),0)
449 LDLIBS += -lpthread
450 endif
451 else
452 ifeq ($(ABCEXTERNAL),)
453 TARGETS += yosys-abc$(EXE)
454 endif
455 endif
456 endif
457
458 ifeq ($(ENABLE_VERIFIC),1)
459 VERIFIC_DIR ?= /usr/local/src/verific_lib
460 VERIFIC_COMPONENTS ?= verilog vhdl database util containers hier_tree
461 CXXFLAGS += $(patsubst %,-I$(VERIFIC_DIR)/%,$(VERIFIC_COMPONENTS)) -DYOSYS_ENABLE_VERIFIC
462 ifeq ($(OS), Darwin)
463 LDLIBS += $(patsubst %,$(VERIFIC_DIR)/%/*-mac.a,$(VERIFIC_COMPONENTS)) -lz
464 else
465 LDLIBS += $(patsubst %,$(VERIFIC_DIR)/%/*-linux.a,$(VERIFIC_COMPONENTS)) -lz
466 endif
467 endif
468
469 ifeq ($(ENABLE_PROTOBUF),1)
470 LDLIBS += $(shell pkg-config --cflags --libs protobuf)
471 endif
472
473 ifeq ($(ENABLE_COVER),1)
474 CXXFLAGS += -DYOSYS_ENABLE_COVER
475 endif
476
477 define add_share_file
478 EXTRA_TARGETS += $(subst //,/,$(1)/$(notdir $(2)))
479 $(subst //,/,$(1)/$(notdir $(2))): $(2)
480 $$(P) mkdir -p $(1)
481 $$(Q) cp "$(YOSYS_SRC)"/$(2) $(subst //,/,$(1)/$(notdir $(2)))
482 endef
483
484 define add_gen_share_file
485 EXTRA_TARGETS += $(subst //,/,$(1)/$(notdir $(2)))
486 $(subst //,/,$(1)/$(notdir $(2))): $(2)
487 $$(P) mkdir -p $(1)
488 $$(Q) cp $(2) $(subst //,/,$(1)/$(notdir $(2)))
489 endef
490
491 define add_include_file
492 $(eval $(call add_share_file,$(dir share/include/$(1)),$(1)))
493 endef
494
495 define add_extra_objs
496 EXTRA_OBJS += $(1)
497 .SECONDARY: $(1)
498 endef
499
500 ifeq ($(PRETTY), 1)
501 P_STATUS = 0
502 P_OFFSET = 0
503 P_UPDATE = $(eval P_STATUS=$(shell echo $(OBJS) yosys$(EXE) | $(AWK) 'BEGIN { RS = " "; I = $(P_STATUS)+0; } $$1 == "$@" && NR > I { I = NR; } END { print I; }'))
504 P_SHOW = [$(shell $(AWK) "BEGIN { N=$(words $(OBJS) yosys$(EXE)); printf \"%3d\", $(P_OFFSET)+90*$(P_STATUS)/N; exit; }")%]
505 P = @echo "$(if $(findstring $@,$(TARGETS) $(EXTRA_TARGETS)),$(eval P_OFFSET = 10))$(call P_UPDATE)$(call P_SHOW) Building $@";
506 Q = @
507 S = -s
508 else
509 P_SHOW = ->
510 P =
511 Q =
512 S =
513 endif
514
515 $(eval $(call add_include_file,kernel/yosys.h))
516 $(eval $(call add_include_file,kernel/hashlib.h))
517 $(eval $(call add_include_file,kernel/log.h))
518 $(eval $(call add_include_file,kernel/rtlil.h))
519 $(eval $(call add_include_file,kernel/register.h))
520 $(eval $(call add_include_file,kernel/celltypes.h))
521 $(eval $(call add_include_file,kernel/celledges.h))
522 $(eval $(call add_include_file,kernel/consteval.h))
523 $(eval $(call add_include_file,kernel/sigtools.h))
524 $(eval $(call add_include_file,kernel/modtools.h))
525 $(eval $(call add_include_file,kernel/macc.h))
526 $(eval $(call add_include_file,kernel/utils.h))
527 $(eval $(call add_include_file,kernel/satgen.h))
528 $(eval $(call add_include_file,libs/ezsat/ezsat.h))
529 $(eval $(call add_include_file,libs/ezsat/ezminisat.h))
530 $(eval $(call add_include_file,libs/sha1/sha1.h))
531 $(eval $(call add_include_file,passes/fsm/fsmdata.h))
532 $(eval $(call add_include_file,frontends/ast/ast.h))
533 $(eval $(call add_include_file,backends/ilang/ilang_backend.h))
534
535 OBJS += kernel/driver.o kernel/register.o kernel/rtlil.o kernel/log.o kernel/calc.o kernel/yosys.o
536 OBJS += kernel/cellaigs.o kernel/celledges.o
537
538 kernel/log.o: CXXFLAGS += -DYOSYS_SRC='"$(YOSYS_SRC)"'
539 kernel/yosys.o: CXXFLAGS += -DYOSYS_DATDIR='"$(DATDIR)"'
540
541 OBJS += libs/bigint/BigIntegerAlgorithms.o libs/bigint/BigInteger.o libs/bigint/BigIntegerUtils.o
542 OBJS += libs/bigint/BigUnsigned.o libs/bigint/BigUnsignedInABase.o
543
544 OBJS += libs/sha1/sha1.o
545
546 ifneq ($(SMALL),1)
547
548 OBJS += libs/subcircuit/subcircuit.o
549
550 OBJS += libs/ezsat/ezsat.o
551 OBJS += libs/ezsat/ezminisat.o
552
553 OBJS += libs/minisat/Options.o
554 OBJS += libs/minisat/SimpSolver.o
555 OBJS += libs/minisat/Solver.o
556 OBJS += libs/minisat/System.o
557
558 include $(YOSYS_SRC)/frontends/*/Makefile.inc
559 include $(YOSYS_SRC)/passes/*/Makefile.inc
560 include $(YOSYS_SRC)/backends/*/Makefile.inc
561 include $(YOSYS_SRC)/techlibs/*/Makefile.inc
562
563 else
564
565 include frontends/verilog/Makefile.inc
566 include frontends/ilang/Makefile.inc
567 include frontends/ast/Makefile.inc
568 include frontends/blif/Makefile.inc
569
570 OBJS += passes/hierarchy/hierarchy.o
571 OBJS += passes/cmds/select.o
572 OBJS += passes/cmds/show.o
573 OBJS += passes/cmds/stat.o
574 OBJS += passes/cmds/cover.o
575 OBJS += passes/cmds/design.o
576 OBJS += passes/cmds/plugin.o
577
578 include passes/proc/Makefile.inc
579 include passes/opt/Makefile.inc
580 include passes/techmap/Makefile.inc
581
582 include backends/verilog/Makefile.inc
583 include backends/ilang/Makefile.inc
584
585 include techlibs/common/Makefile.inc
586
587 endif
588
589 ifeq ($(LINK_ABC),1)
590 OBJS += yosys-libabc.a
591 endif
592
593 top-all: $(TARGETS) $(EXTRA_TARGETS)
594 @echo ""
595 @echo " Build successful."
596 @echo ""
597
598 ifeq ($(CONFIG),emcc)
599 yosys.js: $(filter-out yosysjs-$(YOSYS_VER).zip,$(EXTRA_TARGETS))
600 endif
601
602 yosys$(EXE): $(OBJS)
603 $(P) $(LD) -o yosys$(EXE) $(LDFLAGS) $(OBJS) $(LDLIBS)
604
605 libyosys.so: $(filter-out kernel/driver.o,$(OBJS))
606 ifeq ($(OS), Darwin)
607 $(P) $(LD) -o libyosys.so -shared -Wl,-install_name,libyosys.so $(LDFLAGS) $^ $(LDLIBS)
608 else
609 $(P) $(LD) -o libyosys.so -shared -Wl,-soname,libyosys.so $(LDFLAGS) $^ $(LDLIBS)
610 endif
611
612 %.o: %.cc
613 $(Q) mkdir -p $(dir $@)
614 $(P) $(CXX) -o $@ -c $(CPPFLAGS) $(CXXFLAGS) $<
615
616 %.pyh: %.h
617 $(Q) mkdir -p $(dir $@)
618 $(P) cat $< | grep -E -v "#[ ]*(include|error)" | $(LD) -x c++ -o $@ -E -P -
619
620 ifeq ($(ENABLE_PYOSYS),1)
621 $(PY_WRAPPER_FILE).cc: misc/$(PY_GEN_SCRIPT).py $(PY_WRAP_INCLUDES)
622 $(Q) mkdir -p $(dir $@)
623 $(P) python$(PYTHON_VERSION) -c "from misc import $(PY_GEN_SCRIPT); $(PY_GEN_SCRIPT).gen_wrappers(\"$(PY_WRAPPER_FILE).cc\")"
624 endif
625
626 %.o: %.cpp
627 $(Q) mkdir -p $(dir $@)
628 $(P) $(CXX) -o $@ -c $(CPPFLAGS) $(CXXFLAGS) $<
629
630 YOSYS_VER_STR := Yosys $(YOSYS_VER) (git sha1 $(GIT_REV), $(notdir $(CXX)) $(shell \
631 $(CXX) --version | tr ' ()' '\n' | grep '^[0-9]' | head -n1) $(filter -f% -m% -O% -DNDEBUG,$(CXXFLAGS)))
632
633 kernel/version_$(GIT_REV).cc: $(YOSYS_SRC)/Makefile
634 $(P) rm -f kernel/version_*.o kernel/version_*.d kernel/version_*.cc
635 $(Q) mkdir -p kernel && echo "namespace Yosys { extern const char *yosys_version_str; const char *yosys_version_str=\"$(YOSYS_VER_STR)\"; }" > kernel/version_$(GIT_REV).cc
636
637 ifeq ($(ENABLE_VERIFIC),1)
638 CXXFLAGS_NOVERIFIC = $(foreach v,$(CXXFLAGS),$(if $(findstring $(VERIFIC_DIR),$(v)),,$(v)))
639 LDLIBS_NOVERIFIC = $(foreach v,$(LDLIBS),$(if $(findstring $(VERIFIC_DIR),$(v)),,$(v)))
640 else
641 CXXFLAGS_NOVERIFIC = $(CXXFLAGS)
642 LDLIBS_NOVERIFIC = $(LDLIBS)
643 endif
644
645 yosys-config: misc/yosys-config.in
646 $(P) $(SED) -e 's#@CXXFLAGS@#$(subst -I. -I"$(YOSYS_SRC)",-I"$(DATDIR)/include",$(strip $(CXXFLAGS_NOVERIFIC)))#;' \
647 -e 's#@CXX@#$(strip $(CXX))#;' -e 's#@LDFLAGS@#$(strip $(LDFLAGS) $(PLUGIN_LDFLAGS))#;' -e 's#@LDLIBS@#$(strip $(LDLIBS_NOVERIFIC))#;' \
648 -e 's#@BINDIR@#$(strip $(BINDIR))#;' -e 's#@DATDIR@#$(strip $(DATDIR))#;' < $< > yosys-config
649 $(Q) chmod +x yosys-config
650
651 abc/abc-$(ABCREV)$(EXE) abc/libabc-$(ABCREV).a:
652 $(P)
653 ifneq ($(ABCREV),default)
654 $(Q) if test -d abc/.hg; then \
655 echo 'REEBE: NOP qverpgbel vf n ut jbexvat pbcl! Erzbir nop/ naq er-eha "znxr".' | tr 'A-Za-z' 'N-ZA-Mn-za-m'; false; \
656 fi
657 $(Q) if ( cd abc 2> /dev/null && ! git diff-index --quiet HEAD; ); then \
658 echo 'REEBE: NOP pbagnvaf ybpny zbqvsvpngvbaf! Frg NOPERI=qrsnhyg va Lbflf Znxrsvyr!' | tr 'A-Za-z' 'N-ZA-Mn-za-m'; false; \
659 fi
660 $(Q) if test "`cd abc 2> /dev/null && git rev-parse --short HEAD`" != "$(ABCREV)"; then \
661 test $(ABCPULL) -ne 0 || { echo 'REEBE: NOP abg hc gb qngr naq NOPCHYY frg gb 0 va Znxrsvyr!' | tr 'A-Za-z' 'N-ZA-Mn-za-m'; exit 1; }; \
662 echo "Pulling ABC from $(ABCURL):"; set -x; \
663 test -d abc || git clone $(ABCURL) abc; \
664 cd abc && $(MAKE) DEP= clean && git fetch origin master && git checkout $(ABCREV); \
665 fi
666 endif
667 $(Q) rm -f abc/abc-[0-9a-f]*
668 $(Q) cd abc && $(MAKE) $(S) $(ABCMKARGS) $(if $(filter %.a,$@),PROG="abc-$(ABCREV)",PROG="abc-$(ABCREV)$(EXE)") MSG_PREFIX="$(eval P_OFFSET = 5)$(call P_SHOW)$(eval P_OFFSET = 10) ABC: " $(if $(filter %.a,$@),libabc-$(ABCREV).a)
669
670 ifeq ($(ABCREV),default)
671 .PHONY: abc/abc-$(ABCREV)$(EXE)
672 .PHONY: abc/libabc-$(ABCREV).a
673 endif
674
675 yosys-abc$(EXE): abc/abc-$(ABCREV)$(EXE)
676 $(P) cp abc/abc-$(ABCREV)$(EXE) yosys-abc$(EXE)
677
678 yosys-libabc.a: abc/libabc-$(ABCREV).a
679 $(P) cp abc/libabc-$(ABCREV).a yosys-libabc.a
680
681 ifneq ($(SEED),)
682 SEEDOPT="-S $(SEED)"
683 else
684 SEEDOPT=""
685 endif
686
687 ifneq ($(ABCEXTERNAL),)
688 ABCOPT="-A $(ABCEXTERNAL)"
689 else
690 ABCOPT=""
691 endif
692
693 test: $(TARGETS) $(EXTRA_TARGETS)
694 +cd tests/simple && bash run-test.sh $(SEEDOPT)
695 +cd tests/simple_abc9 && bash run-test.sh $(SEEDOPT)
696 +cd tests/hana && bash run-test.sh $(SEEDOPT)
697 +cd tests/asicworld && bash run-test.sh $(SEEDOPT)
698 # +cd tests/realmath && bash run-test.sh $(SEEDOPT)
699 +cd tests/share && bash run-test.sh $(SEEDOPT)
700 +cd tests/opt_share && bash run-test.sh $(SEEDOPT)
701 +cd tests/fsm && bash run-test.sh $(SEEDOPT)
702 +cd tests/techmap && bash run-test.sh
703 +cd tests/memories && bash run-test.sh $(ABCOPT) $(SEEDOPT)
704 +cd tests/bram && bash run-test.sh $(SEEDOPT)
705 +cd tests/various && bash run-test.sh
706 +cd tests/sat && bash run-test.sh
707 +cd tests/svinterfaces && bash run-test.sh $(SEEDOPT)
708 +cd tests/proc && bash run-test.sh
709 +cd tests/opt && bash run-test.sh
710 +cd tests/aiger && bash run-test.sh $(ABCOPT)
711 +cd tests/arch && bash run-test.sh
712 +cd tests/ice40 && bash run-test.sh $(SEEDOPT)
713 @echo ""
714 @echo " Passed \"make test\"."
715 @echo ""
716
717 VALGRIND ?= valgrind --error-exitcode=1 --leak-check=full --show-reachable=yes --errors-for-leak-kinds=all
718
719 vgtest: $(TARGETS) $(EXTRA_TARGETS)
720 $(VALGRIND) ./yosys -p 'setattr -mod -unset top; synth' $$( ls tests/simple/*.v | grep -v repwhile.v )
721 @echo ""
722 @echo " Passed \"make vgtest\"."
723 @echo ""
724
725 vloghtb: $(TARGETS) $(EXTRA_TARGETS)
726 +cd tests/vloghtb && bash run-test.sh
727 @echo ""
728 @echo " Passed \"make vloghtb\"."
729 @echo ""
730
731 ystests: $(TARGETS) $(EXTRA_TARGETS)
732 rm -rf tests/ystests
733 git clone https://github.com/YosysHQ/yosys-tests.git tests/ystests
734 +$(MAKE) PATH="$$PWD:$$PATH" -C tests/ystests
735 @echo ""
736 @echo " Finished \"make ystests\"."
737 @echo ""
738
739 # Unit test
740 unit-test: libyosys.so
741 @$(MAKE) -C $(UNITESTPATH) CXX="$(CXX)" CPPFLAGS="$(CPPFLAGS)" \
742 CXXFLAGS="$(CXXFLAGS)" LDLIBS="$(LDLIBS)" ROOTPATH="$(CURDIR)"
743
744 clean-unit-test:
745 @$(MAKE) -C $(UNITESTPATH) clean
746
747 install: $(TARGETS) $(EXTRA_TARGETS)
748 $(INSTALL_SUDO) mkdir -p $(DESTDIR)$(BINDIR)
749 $(INSTALL_SUDO) cp $(TARGETS) $(DESTDIR)$(BINDIR)
750 ifneq ($(filter yosys,$(TARGETS)),)
751 $(INSTALL_SUDO) $(STRIP) -S $(DESTDIR)$(BINDIR)/yosys
752 endif
753 ifneq ($(filter yosys-abc,$(TARGETS)),)
754 $(INSTALL_SUDO) $(STRIP) $(DESTDIR)$(BINDIR)/yosys-abc
755 endif
756 ifneq ($(filter yosys-filterlib,$(TARGETS)),)
757 $(INSTALL_SUDO) $(STRIP) $(DESTDIR)$(BINDIR)/yosys-filterlib
758 endif
759 $(INSTALL_SUDO) mkdir -p $(DESTDIR)$(DATDIR)
760 $(INSTALL_SUDO) cp -r share/. $(DESTDIR)$(DATDIR)/.
761 ifeq ($(ENABLE_LIBYOSYS),1)
762 $(INSTALL_SUDO) mkdir -p $(DESTDIR)$(LIBDIR)
763 $(INSTALL_SUDO) cp libyosys.so $(DESTDIR)$(LIBDIR)/
764 $(INSTALL_SUDO) $(STRIP) -S $(DESTDIR)$(LIBDIR)/libyosys.so
765 ifeq ($(ENABLE_PYOSYS),1)
766 $(INSTALL_SUDO) mkdir -p $(PYTHON_DESTDIR)/pyosys
767 $(INSTALL_SUDO) cp libyosys.so $(PYTHON_DESTDIR)/pyosys/
768 $(INSTALL_SUDO) cp misc/__init__.py $(PYTHON_DESTDIR)/pyosys/
769 endif
770 endif
771
772 uninstall:
773 $(INSTALL_SUDO) rm -vf $(addprefix $(DESTDIR)$(BINDIR)/,$(notdir $(TARGETS)))
774 $(INSTALL_SUDO) rm -rvf $(DESTDIR)$(DATDIR)
775 ifeq ($(ENABLE_LIBYOSYS),1)
776 $(INSTALL_SUDO) rm -vf $(DESTDIR)$(LIBDIR)/libyosys.so
777 ifeq ($(ENABLE_PYOSYS),1)
778 $(INSTALL_SUDO) rm -vf $(PYTHON_DESTDIR)/pyosys/libyosys.so
779 $(INSTALL_SUDO) rm -vf $(PYTHON_DESTDIR)/pyosys/__init__.py
780 $(INSTALL_SUDO) rmdir $(PYTHON_DESTDIR)/pyosys
781 endif
782 endif
783
784 update-manual: $(TARGETS) $(EXTRA_TARGETS)
785 cd manual && ../yosys -p 'help -write-tex-command-reference-manual'
786
787 manual: $(TARGETS) $(EXTRA_TARGETS)
788 cd manual && bash appnotes.sh
789 cd manual && bash presentation.sh
790 cd manual && bash manual.sh
791
792 clean:
793 rm -rf share
794 rm -rf kernel/*.pyh
795 if test -d manual; then cd manual && sh clean.sh; fi
796 rm -f $(OBJS) $(GENFILES) $(TARGETS) $(EXTRA_TARGETS) $(EXTRA_OBJS) $(PY_WRAP_INCLUDES) $(PY_WRAPPER_FILE).cc
797 rm -f kernel/version_*.o kernel/version_*.cc
798 rm -f libs/*/*.d frontends/*/*.d passes/*/*.d backends/*/*.d kernel/*.d techlibs/*/*.d
799 rm -rf tests/asicworld/*.out tests/asicworld/*.log
800 rm -rf tests/hana/*.out tests/hana/*.log
801 rm -rf tests/simple/*.out tests/simple/*.log
802 rm -rf tests/memories/*.out tests/memories/*.log tests/memories/*.dmp
803 rm -rf tests/sat/*.log tests/techmap/*.log tests/various/*.log
804 rm -rf tests/bram/temp tests/fsm/temp tests/realmath/temp tests/share/temp tests/smv/temp
805 rm -rf vloghtb/Makefile vloghtb/refdat vloghtb/rtl vloghtb/scripts vloghtb/spec vloghtb/check_yosys vloghtb/vloghammer_tb.tar.bz2 vloghtb/temp vloghtb/log_test_*
806 rm -f tests/svinterfaces/*.log_stdout tests/svinterfaces/*.log_stderr tests/svinterfaces/dut_result.txt tests/svinterfaces/reference_result.txt tests/svinterfaces/a.out tests/svinterfaces/*_syn.v tests/svinterfaces/*.diff
807 rm -f tests/tools/cmp_tbdata
808
809 clean-abc:
810 $(MAKE) -C abc DEP= clean
811 rm -f yosys-abc$(EXE) yosys-libabc.a abc/abc-[0-9a-f]* abc/libabc-[0-9a-f]*.a
812
813 mrproper: clean
814 git clean -xdf
815
816 coverage:
817 ./yosys -qp 'help; help -all'
818 rm -rf coverage.info coverage_html
819 lcov --capture -d . --no-external -o coverage.info
820 genhtml coverage.info --output-directory coverage_html
821
822 qtcreator:
823 { for file in $(basename $(OBJS)); do \
824 for prefix in cc y l; do if [ -f $${file}.$${prefix} ]; then echo $$file.$${prefix}; fi; done \
825 done; find backends frontends kernel libs passes -type f \( -name '*.h' -o -name '*.hh' \); } > qtcreator.files
826 { echo .; find backends frontends kernel libs passes -type f \( -name '*.h' -o -name '*.hh' \) -printf '%h\n' | sort -u; } > qtcreator.includes
827 touch qtcreator.config qtcreator.creator
828
829 vcxsrc: $(GENFILES) $(EXTRA_TARGETS)
830 rm -rf yosys-win32-vcxsrc-$(YOSYS_VER){,.zip}
831 set -e; for f in `ls $(filter %.cc %.cpp,$(GENFILES)) $(addsuffix .cc,$(basename $(OBJS))) $(addsuffix .cpp,$(basename $(OBJS))) 2> /dev/null`; do \
832 echo "Analyse: $$f" >&2; cpp -std=c++11 -MM -I. -D_YOSYS_ $$f; done | sed 's,.*:,,; s,//*,/,g; s,/[^/]*/\.\./,/,g; y, \\,\n\n,;' | grep '^[^/]' | sort -u | grep -v kernel/version_ > srcfiles.txt
833 bash misc/create_vcxsrc.sh yosys-win32-vcxsrc $(YOSYS_VER) $(GIT_REV)
834 echo "namespace Yosys { extern const char *yosys_version_str; const char *yosys_version_str=\"Yosys (Version Information Unavailable)\"; }" > kernel/version.cc
835 zip yosys-win32-vcxsrc-$(YOSYS_VER)/genfiles.zip $(GENFILES) kernel/version.cc
836 zip -r yosys-win32-vcxsrc-$(YOSYS_VER).zip yosys-win32-vcxsrc-$(YOSYS_VER)/
837 rm -f srcfiles.txt kernel/version.cc
838
839 ifeq ($(CONFIG),mxe)
840 mxebin: $(TARGETS) $(EXTRA_TARGETS)
841 rm -rf yosys-win32-mxebin-$(YOSYS_VER){,.zip}
842 mkdir -p yosys-win32-mxebin-$(YOSYS_VER)
843 cp -r yosys.exe share/ yosys-win32-mxebin-$(YOSYS_VER)/
844 ifeq ($(ENABLE_ABC),1)
845 cp -r yosys-abc.exe abc/lib/x86/pthreadVC2.dll yosys-win32-mxebin-$(YOSYS_VER)/
846 endif
847 echo -en 'This is Yosys $(YOSYS_VER) for Win32.\r\n' > yosys-win32-mxebin-$(YOSYS_VER)/readme.txt
848 echo -en 'Documentation at http://www.clifford.at/yosys/.\r\n' >> yosys-win32-mxebin-$(YOSYS_VER)/readme.txt
849 zip -r yosys-win32-mxebin-$(YOSYS_VER).zip yosys-win32-mxebin-$(YOSYS_VER)/
850 endif
851
852 config-clean: clean
853 rm -f Makefile.conf
854
855 config-clang: clean
856 echo 'CONFIG := clang' > Makefile.conf
857
858 config-gcc: clean
859 echo 'CONFIG := gcc' > Makefile.conf
860
861 config-gcc-static: clean
862 echo 'CONFIG := gcc-static' > Makefile.conf
863 echo 'ENABLE_PLUGINS := 0' >> Makefile.conf
864 echo 'ENABLE_READLINE := 0' >> Makefile.conf
865 echo 'ENABLE_TCL := 0' >> Makefile.conf
866
867 config-gcc-4.8: clean
868 echo 'CONFIG := gcc-4.8' > Makefile.conf
869
870 config-afl-gcc: clean
871 echo 'CONFIG := afl-gcc' > Makefile.conf
872
873 config-emcc: clean
874 echo 'CONFIG := emcc' > Makefile.conf
875 echo 'ENABLE_TCL := 0' >> Makefile.conf
876 echo 'ENABLE_ABC := 0' >> Makefile.conf
877 echo 'ENABLE_PLUGINS := 0' >> Makefile.conf
878 echo 'ENABLE_READLINE := 0' >> Makefile.conf
879
880 config-mxe: clean
881 echo 'CONFIG := mxe' > Makefile.conf
882 echo 'ENABLE_PLUGINS := 0' >> Makefile.conf
883
884 config-msys2: clean
885 echo 'CONFIG := msys2' > Makefile.conf
886 echo 'ENABLE_PLUGINS := 0' >> Makefile.conf
887
888 config-msys2-64: clean
889 echo 'CONFIG := msys2-64' > Makefile.conf
890 echo 'ENABLE_PLUGINS := 0' >> Makefile.conf
891
892 config-cygwin: clean
893 echo 'CONFIG := cygwin' > Makefile.conf
894
895 config-gcov: clean
896 echo 'CONFIG := gcc' > Makefile.conf
897 echo 'ENABLE_GCOV := 1' >> Makefile.conf
898 echo 'ENABLE_DEBUG := 1' >> Makefile.conf
899
900 config-gprof: clean
901 echo 'CONFIG := gcc' > Makefile.conf
902 echo 'ENABLE_GPROF := 1' >> Makefile.conf
903
904 config-sudo:
905 echo "INSTALL_SUDO := sudo" >> Makefile.conf
906
907 echo-yosys-ver:
908 @echo "$(YOSYS_VER)"
909
910 echo-git-rev:
911 @echo "$(GIT_REV)"
912
913 -include libs/*/*.d
914 -include frontends/*/*.d
915 -include passes/*/*.d
916 -include backends/*/*.d
917 -include kernel/*.d
918 -include techlibs/*/*.d
919
920 .PHONY: all top-all abc test install install-abc manual clean mrproper qtcreator coverage vcxsrc mxebin
921 .PHONY: config-clean config-clang config-gcc config-gcc-static config-gcc-4.8 config-afl-gcc config-gprof config-sudo
922