Update to ABC d1b6413
[yosys.git] / Makefile
1
2 CONFIG := clang
3 # CONFIG := gcc
4 # CONFIG := gcc-4.8
5 # CONFIG := emcc
6 # CONFIG := mxe
7 # CONFIG := msys2
8 # CONFIG := msys2-64
9
10 # features (the more the better)
11 ENABLE_TCL := 1
12 ENABLE_ABC := 1
13 ENABLE_GLOB := 1
14 ENABLE_PLUGINS := 1
15 ENABLE_READLINE := 1
16 ENABLE_EDITLINE := 0
17 ENABLE_VERIFIC := 0
18 ENABLE_COVER := 1
19 ENABLE_LIBYOSYS := 0
20 ENABLE_PROTOBUF := 0
21
22 # other configuration flags
23 ENABLE_GCOV := 0
24 ENABLE_GPROF := 0
25 ENABLE_DEBUG := 0
26 ENABLE_NDEBUG := 0
27 LINK_CURSES := 0
28 LINK_TERMCAP := 0
29 LINK_ABC := 0
30 # Needed for environments that don't have proper thread support (i.e. emscripten)
31 DISABLE_ABC_THREADS := 0
32
33 # clang sanitizers
34 SANITIZER =
35 # SANITIZER = address
36 # SANITIZER = memory
37 # SANITIZER = undefined
38 # SANITIZER = cfi
39
40
41 OS := $(shell uname -s)
42 PREFIX ?= /usr/local
43 INSTALL_SUDO :=
44
45 BINDIR := $(PREFIX)/bin
46 LIBDIR := $(PREFIX)/lib
47 DATDIR := $(PREFIX)/share/yosys
48
49 EXE =
50 OBJS =
51 GENFILES =
52 EXTRA_OBJS =
53 EXTRA_TARGETS =
54 TARGETS = yosys$(EXE) yosys-config
55
56 PRETTY = 1
57 SMALL = 0
58
59 # Unit test
60 UNITESTPATH := tests/unit
61
62 all: top-all
63
64 YOSYS_SRC := $(dir $(firstword $(MAKEFILE_LIST)))
65 VPATH := $(YOSYS_SRC)
66
67 CXXFLAGS := $(CXXFLAGS) -Wall -Wextra -ggdb -I. -I"$(YOSYS_SRC)" -MD -D_YOSYS_ -fPIC -I$(PREFIX)/include
68 LDFLAGS := $(LDFLAGS) -L$(LIBDIR)
69 LDLIBS := $(LDLIBS) -lstdc++ -lm
70 PLUGIN_LDFLAGS :=
71
72 PKG_CONFIG ?= pkg-config
73 SED ?= sed
74 BISON ?= bison
75 STRIP ?= strip
76 AWK ?= awk
77
78 ifeq ($(OS), Darwin)
79 PLUGIN_LDFLAGS += -undefined dynamic_lookup
80
81 # homebrew search paths
82 ifneq ($(shell which brew),)
83 BREW_PREFIX := $(shell brew --prefix)/opt
84 CXXFLAGS += -I$(BREW_PREFIX)/readline/include
85 LDFLAGS += -L$(BREW_PREFIX)/readline/lib
86 PKG_CONFIG_PATH := $(BREW_PREFIX)/libffi/lib/pkgconfig:$(PKG_CONFIG_PATH)
87 PKG_CONFIG_PATH := $(BREW_PREFIX)/tcl-tk/lib/pkgconfig:$(PKG_CONFIG_PATH)
88 export PATH := $(BREW_PREFIX)/bison/bin:$(BREW_PREFIX)/gettext/bin:$(BREW_PREFIX)/flex/bin:$(PATH)
89
90 # macports search paths
91 else ifneq ($(shell which port),)
92 PORT_PREFIX := $(patsubst %/bin/port,%,$(shell which port))
93 CXXFLAGS += -I$(PORT_PREFIX)/include
94 LDFLAGS += -L$(PORT_PREFIX)/lib
95 PKG_CONFIG_PATH := $(PORT_PREFIX)/lib/pkgconfig:$(PKG_CONFIG_PATH)
96 export PATH := $(PORT_PREFIX)/bin:$(PATH)
97 endif
98
99 else
100 LDFLAGS += -rdynamic
101 LDLIBS += -lrt
102 endif
103
104 YOSYS_VER := 0.8+$(shell cd $(YOSYS_SRC) && test -e .git && { git log --author=clifford@clifford.at --oneline 4d4665b.. 2> /dev/null | wc -l; })
105 GIT_REV := $(shell cd $(YOSYS_SRC) && git rev-parse --short HEAD 2> /dev/null || echo UNKNOWN)
106 OBJS = kernel/version_$(GIT_REV).o
107
108 # set 'ABCREV = default' to use abc/ as it is
109 #
110 # Note: If you do ABC development, make sure that 'abc' in this directory
111 # is just a symlink to your actual ABC working directory, as 'make mrproper'
112 # will remove the 'abc' directory and you do not want to accidentally
113 # delete your work on ABC..
114 ABCREV = d1b6413
115 ABCPULL = 1
116 ABCURL ?= https://github.com/berkeley-abc/abc
117 ABCMKARGS = CC="$(CXX)" CXX="$(CXX)" ABC_USE_LIBSTDCXX=1
118
119 # set ABCEXTERNAL = <abc-command> to use an external ABC instance
120 # Note: The in-tree ABC (yosys-abc) will not be installed when ABCEXTERNAL is set.
121 ABCEXTERNAL ?=
122
123 define newline
124
125
126 endef
127
128 ifneq ($(wildcard Makefile.conf),)
129 $(info $(subst $$--$$,$(newline),$(shell sed 's,^,[Makefile.conf] ,; s,$$,$$--$$,;' < Makefile.conf | tr -d '\n' | sed 's,\$$--\$$$$,,')))
130 include Makefile.conf
131 endif
132
133 ifeq ($(CONFIG),clang)
134 CXX = clang
135 LD = clang++
136 CXXFLAGS += -std=c++11 -Os
137 ABCMKARGS += ARCHFLAGS="-DABC_USE_STDINT_H"
138
139 ifneq ($(SANITIZER),)
140 $(info [Clang Sanitizer] $(SANITIZER))
141 CXXFLAGS += -g -O1 -fno-omit-frame-pointer -fno-optimize-sibling-calls -fsanitize=$(SANITIZER)
142 LDFLAGS += -g -fsanitize=$(SANITIZER)
143 ifeq ($(SANITIZER),address)
144 ENABLE_COVER := 0
145 endif
146 ifeq ($(SANITIZER),memory)
147 CXXFLAGS += -fPIE -fsanitize-memory-track-origins
148 LDFLAGS += -fPIE -fsanitize-memory-track-origins
149 endif
150 ifeq ($(SANITIZER),cfi)
151 CXXFLAGS += -flto
152 LDFLAGS += -flto
153 endif
154 endif
155
156 else ifeq ($(CONFIG),gcc)
157 CXX = gcc
158 LD = gcc
159 CXXFLAGS += -std=c++11 -Os
160 ABCMKARGS += ARCHFLAGS="-DABC_USE_STDINT_H"
161
162 else ifeq ($(CONFIG),gcc-static)
163 LD = $(CXX)
164 LDFLAGS := $(filter-out -rdynamic,$(LDFLAGS)) -static
165 LDLIBS := $(filter-out -lrt,$(LDLIBS))
166 CXXFLAGS := $(filter-out -fPIC,$(CXXFLAGS))
167 CXXFLAGS += -std=c++11 -Os
168 ABCMKARGS = CC="$(CC)" CXX="$(CXX)" LD="$(LD)" ABC_USE_LIBSTDCXX=1 LIBS="-lm -lpthread -static" OPTFLAGS="-O" \
169 ARCHFLAGS="-DABC_USE_STDINT_H -DABC_NO_DYNAMIC_LINKING=1 -Wno-unused-but-set-variable $(ARCHFLAGS)" ABC_USE_NO_READLINE=1
170 ifeq ($(DISABLE_ABC_THREADS),1)
171 ABCMKARGS += "ABC_USE_NO_PTHREADS=1"
172 endif
173
174 else ifeq ($(CONFIG),gcc-4.8)
175 CXX = gcc-4.8
176 LD = gcc-4.8
177 CXXFLAGS += -std=c++11 -Os
178 ABCMKARGS += ARCHFLAGS="-DABC_USE_STDINT_H"
179
180 else ifeq ($(CONFIG),cygwin)
181 CXX = gcc
182 LD = gcc
183 CXXFLAGS += -std=gnu++11 -Os
184 ABCMKARGS += ARCHFLAGS="-DABC_USE_STDINT_H"
185
186 else ifeq ($(CONFIG),emcc)
187 CXX = emcc
188 LD = emcc
189 CXXFLAGS := -std=c++11 $(filter-out -fPIC -ggdb,$(CXXFLAGS))
190 ABCMKARGS += ARCHFLAGS="-DABC_USE_STDINT_H -DABC_MEMALIGN=8"
191 EMCCFLAGS := -Os -Wno-warn-absolute-paths
192 EMCCFLAGS += --memory-init-file 0 --embed-file share -s NO_EXIT_RUNTIME=1
193 EMCCFLAGS += -s EXPORTED_FUNCTIONS="['_main','_run','_prompt','_errmsg']"
194 EMCCFLAGS += -s TOTAL_MEMORY=128*1024*1024
195 # https://github.com/kripken/emscripten/blob/master/src/settings.js
196 CXXFLAGS += $(EMCCFLAGS)
197 LDFLAGS += $(EMCCFLAGS)
198 LDLIBS =
199 EXE = .js
200
201 TARGETS := $(filter-out yosys-config,$(TARGETS))
202 EXTRA_TARGETS += yosysjs-$(YOSYS_VER).zip
203
204 ifeq ($(ENABLE_ABC),1)
205 LINK_ABC := 1
206 DISABLE_ABC_THREADS := 1
207 endif
208
209 viz.js:
210 wget -O viz.js.part https://github.com/mdaines/viz.js/releases/download/0.0.3/viz.js
211 mv viz.js.part viz.js
212
213 yosysjs-$(YOSYS_VER).zip: yosys.js viz.js misc/yosysjs/*
214 rm -rf yosysjs-$(YOSYS_VER) yosysjs-$(YOSYS_VER).zip
215 mkdir -p yosysjs-$(YOSYS_VER)
216 cp viz.js misc/yosysjs/* yosys.js yosysjs-$(YOSYS_VER)/
217 zip -r yosysjs-$(YOSYS_VER).zip yosysjs-$(YOSYS_VER)
218
219 yosys.html: misc/yosys.html
220 $(P) cp misc/yosys.html yosys.html
221
222 else ifeq ($(CONFIG),mxe)
223 PKG_CONFIG = /usr/local/src/mxe/usr/bin/i686-w64-mingw32.static-pkg-config
224 CXX = /usr/local/src/mxe/usr/bin/i686-w64-mingw32.static-g++
225 LD = /usr/local/src/mxe/usr/bin/i686-w64-mingw32.static-g++
226 CXXFLAGS += -std=c++11 -Os -D_POSIX_SOURCE -DYOSYS_MXE_HACKS -Wno-attributes
227 CXXFLAGS := $(filter-out -fPIC,$(CXXFLAGS))
228 LDFLAGS := $(filter-out -rdynamic,$(LDFLAGS)) -s
229 LDLIBS := $(filter-out -lrt,$(LDLIBS))
230 ABCMKARGS += ARCHFLAGS="-DWIN32_NO_DLL -DHAVE_STRUCT_TIMESPEC -fpermissive -w"
231 ABCMKARGS += LIBS="lib/x86/pthreadVC2.lib -s" ABC_USE_NO_READLINE=1 CC="/usr/local/src/mxe/usr/bin/i686-w64-mingw32.static-gcc"
232 EXE = .exe
233
234 else ifeq ($(CONFIG),msys2)
235 CXX = i686-w64-mingw32-g++
236 LD = i686-w64-mingw32-g++
237 CXXFLAGS += -std=c++11 -Os -D_POSIX_SOURCE -DYOSYS_WIN32_UNIX_DIR
238 CXXFLAGS := $(filter-out -fPIC,$(CXXFLAGS))
239 LDFLAGS := $(filter-out -rdynamic,$(LDFLAGS)) -s
240 LDLIBS := $(filter-out -lrt,$(LDLIBS))
241 ABCMKARGS += ARCHFLAGS="-DABC_USE_STDINT_H -DWIN32_NO_DLL -DHAVE_STRUCT_TIMESPEC -fpermissive -w"
242 ABCMKARGS += LIBS="-lpthread -s" ABC_USE_NO_READLINE=0 CC="i686-w64-mingw32-gcc" CXX="$(CXX)"
243 EXE = .exe
244
245 else ifeq ($(CONFIG),msys2-64)
246 CXX = x86_64-w64-mingw32-g++
247 LD = x86_64-w64-mingw32-g++
248 CXXFLAGS += -std=c++11 -Os -D_POSIX_SOURCE -DYOSYS_WIN32_UNIX_DIR
249 CXXFLAGS := $(filter-out -fPIC,$(CXXFLAGS))
250 LDFLAGS := $(filter-out -rdynamic,$(LDFLAGS)) -s
251 LDLIBS := $(filter-out -lrt,$(LDLIBS))
252 ABCMKARGS += ARCHFLAGS="-DABC_USE_STDINT_H -DWIN32_NO_DLL -DHAVE_STRUCT_TIMESPEC -fpermissive -w"
253 ABCMKARGS += LIBS="-lpthread -s" ABC_USE_NO_READLINE=0 CC="x86_64-w64-mingw32-gcc" CXX="$(CXX)"
254 EXE = .exe
255
256 else ifneq ($(CONFIG),none)
257 $(error Invalid CONFIG setting '$(CONFIG)'. Valid values: clang, gcc, gcc-4.8, emcc, mxe, msys2, msys2-64)
258 endif
259
260 ifeq ($(ENABLE_LIBYOSYS),1)
261 TARGETS += libyosys.so
262 endif
263
264 ifeq ($(ENABLE_READLINE),1)
265 CXXFLAGS += -DYOSYS_ENABLE_READLINE
266 ifeq ($(OS), FreeBSD)
267 CXXFLAGS += -I/usr/local/include
268 endif
269 LDLIBS += -lreadline
270 ifeq ($(LINK_CURSES),1)
271 LDLIBS += -lcurses
272 ABCMKARGS += "ABC_READLINE_LIBRARIES=-lcurses -lreadline"
273 endif
274 ifeq ($(LINK_TERMCAP),1)
275 LDLIBS += -ltermcap
276 ABCMKARGS += "ABC_READLINE_LIBRARIES=-lreadline -ltermcap"
277 endif
278 ifeq ($(CONFIG),mxe)
279 LDLIBS += -ltermcap
280 endif
281 else
282 ifeq ($(ENABLE_EDITLINE),1)
283 CXXFLAGS += -DYOSYS_ENABLE_EDITLINE
284 LDLIBS += -ledit -ltinfo -lbsd
285 else
286 ABCMKARGS += "ABC_USE_NO_READLINE=1"
287 endif
288 endif
289
290 ifeq ($(DISABLE_ABC_THREADS),1)
291 ABCMKARGS += "ABC_USE_NO_PTHREADS=1"
292 endif
293
294 ifeq ($(ENABLE_PLUGINS),1)
295 CXXFLAGS += $(shell PKG_CONFIG_PATH=$(PKG_CONFIG_PATH) $(PKG_CONFIG) --silence-errors --cflags libffi) -DYOSYS_ENABLE_PLUGINS
296 LDLIBS += $(shell PKG_CONFIG_PATH=$(PKG_CONFIG_PATH) $(PKG_CONFIG) --silence-errors --libs libffi || echo -lffi)
297 ifneq ($(OS), FreeBSD)
298 LDLIBS += -ldl
299 endif
300 endif
301
302 ifeq ($(ENABLE_GLOB),1)
303 CXXFLAGS += -DYOSYS_ENABLE_GLOB
304 endif
305
306 ifeq ($(ENABLE_TCL),1)
307 TCL_VERSION ?= tcl$(shell bash -c "tclsh <(echo 'puts [info tclversion]')")
308 ifeq ($(OS), FreeBSD)
309 TCL_INCLUDE ?= /usr/local/include/$(TCL_VERSION)
310 else
311 TCL_INCLUDE ?= /usr/include/$(TCL_VERSION)
312 endif
313
314 ifeq ($(CONFIG),mxe)
315 CXXFLAGS += -DYOSYS_ENABLE_TCL
316 LDLIBS += -ltcl86 -lwsock32 -lws2_32 -lnetapi32 -lz
317 else
318 CXXFLAGS += $(shell PKG_CONFIG_PATH=$(PKG_CONFIG_PATH) $(PKG_CONFIG) --silence-errors --cflags tcl || echo -I$(TCL_INCLUDE)) -DYOSYS_ENABLE_TCL
319 ifeq ($(OS), FreeBSD)
320 # FreeBSD uses tcl8.6, but lib is named "libtcl86"
321 LDLIBS += $(shell PKG_CONFIG_PATH=$(PKG_CONFIG_PATH) $(PKG_CONFIG) --silence-errors --libs tcl || echo -l$(TCL_VERSION) | tr -d '.')
322 else
323 LDLIBS += $(shell PKG_CONFIG_PATH=$(PKG_CONFIG_PATH) $(PKG_CONFIG) --silence-errors --libs tcl || echo -l$(TCL_VERSION))
324 endif
325 endif
326 endif
327
328 ifeq ($(ENABLE_GCOV),1)
329 CXXFLAGS += --coverage
330 LDFLAGS += --coverage
331 endif
332
333 ifeq ($(ENABLE_GPROF),1)
334 CXXFLAGS += -pg
335 LDFLAGS += -pg
336 endif
337
338 ifeq ($(ENABLE_NDEBUG),1)
339 CXXFLAGS := -O3 -DNDEBUG $(filter-out -Os -ggdb,$(CXXFLAGS))
340 endif
341
342 ifeq ($(ENABLE_DEBUG),1)
343 ifeq ($(CONFIG),clang)
344 CXXFLAGS := -O0 -DDEBUG $(filter-out -Os,$(CXXFLAGS))
345 else
346 CXXFLAGS := -Og -DDEBUG $(filter-out -Os,$(CXXFLAGS))
347 endif
348 endif
349
350 ifeq ($(ENABLE_ABC),1)
351 CXXFLAGS += -DYOSYS_ENABLE_ABC
352 ifeq ($(LINK_ABC),1)
353 CXXFLAGS += -DYOSYS_LINK_ABC
354 ifeq ($(DISABLE_ABC_THREADS),0)
355 LDLIBS += -lpthread
356 endif
357 else
358 ifeq ($(ABCEXTERNAL),)
359 TARGETS += yosys-abc$(EXE)
360 endif
361 endif
362 endif
363
364 ifeq ($(ENABLE_VERIFIC),1)
365 VERIFIC_DIR ?= /usr/local/src/verific_lib
366 VERIFIC_COMPONENTS ?= verilog vhdl database util containers hier_tree
367 CXXFLAGS += $(patsubst %,-I$(VERIFIC_DIR)/%,$(VERIFIC_COMPONENTS)) -DYOSYS_ENABLE_VERIFIC
368 ifeq ($(OS), Darwin)
369 LDLIBS += $(patsubst %,$(VERIFIC_DIR)/%/*-mac.a,$(VERIFIC_COMPONENTS)) -lz
370 else
371 LDLIBS += $(patsubst %,$(VERIFIC_DIR)/%/*-linux.a,$(VERIFIC_COMPONENTS)) -lz
372 endif
373 endif
374
375 ifeq ($(ENABLE_PROTOBUF),1)
376 LDLIBS += $(shell pkg-config --cflags --libs protobuf)
377 endif
378
379 ifeq ($(ENABLE_COVER),1)
380 CXXFLAGS += -DYOSYS_ENABLE_COVER
381 endif
382
383 define add_share_file
384 EXTRA_TARGETS += $(subst //,/,$(1)/$(notdir $(2)))
385 $(subst //,/,$(1)/$(notdir $(2))): $(2)
386 $$(P) mkdir -p $(1)
387 $$(Q) cp "$(YOSYS_SRC)"/$(2) $(subst //,/,$(1)/$(notdir $(2)))
388 endef
389
390 define add_gen_share_file
391 EXTRA_TARGETS += $(subst //,/,$(1)/$(notdir $(2)))
392 $(subst //,/,$(1)/$(notdir $(2))): $(2)
393 $$(P) mkdir -p $(1)
394 $$(Q) cp $(2) $(subst //,/,$(1)/$(notdir $(2)))
395 endef
396
397 define add_include_file
398 $(eval $(call add_share_file,$(dir share/include/$(1)),$(1)))
399 endef
400
401 ifeq ($(PRETTY), 1)
402 P_STATUS = 0
403 P_OFFSET = 0
404 P_UPDATE = $(eval P_STATUS=$(shell echo $(OBJS) yosys$(EXE) | $(AWK) 'BEGIN { RS = " "; I = $(P_STATUS)+0; } $$1 == "$@" && NR > I { I = NR; } END { print I; }'))
405 P_SHOW = [$(shell $(AWK) "BEGIN { N=$(words $(OBJS) yosys$(EXE)); printf \"%3d\", $(P_OFFSET)+90*$(P_STATUS)/N; exit; }")%]
406 P = @echo "$(if $(findstring $@,$(TARGETS) $(EXTRA_TARGETS)),$(eval P_OFFSET = 10))$(call P_UPDATE)$(call P_SHOW) Building $@";
407 Q = @
408 S = -s
409 else
410 P_SHOW = ->
411 P =
412 Q =
413 S =
414 endif
415
416 $(eval $(call add_include_file,kernel/yosys.h))
417 $(eval $(call add_include_file,kernel/hashlib.h))
418 $(eval $(call add_include_file,kernel/log.h))
419 $(eval $(call add_include_file,kernel/rtlil.h))
420 $(eval $(call add_include_file,kernel/register.h))
421 $(eval $(call add_include_file,kernel/celltypes.h))
422 $(eval $(call add_include_file,kernel/celledges.h))
423 $(eval $(call add_include_file,kernel/consteval.h))
424 $(eval $(call add_include_file,kernel/sigtools.h))
425 $(eval $(call add_include_file,kernel/modtools.h))
426 $(eval $(call add_include_file,kernel/macc.h))
427 $(eval $(call add_include_file,kernel/utils.h))
428 $(eval $(call add_include_file,kernel/satgen.h))
429 $(eval $(call add_include_file,libs/ezsat/ezsat.h))
430 $(eval $(call add_include_file,libs/ezsat/ezminisat.h))
431 $(eval $(call add_include_file,libs/sha1/sha1.h))
432 $(eval $(call add_include_file,passes/fsm/fsmdata.h))
433 $(eval $(call add_include_file,frontends/ast/ast.h))
434 $(eval $(call add_include_file,backends/ilang/ilang_backend.h))
435
436 OBJS += kernel/driver.o kernel/register.o kernel/rtlil.o kernel/log.o kernel/calc.o kernel/yosys.o
437 OBJS += kernel/cellaigs.o kernel/celledges.o
438
439 kernel/log.o: CXXFLAGS += -DYOSYS_SRC='"$(YOSYS_SRC)"'
440 kernel/yosys.o: CXXFLAGS += -DYOSYS_DATDIR='"$(DATDIR)"'
441
442 OBJS += libs/bigint/BigIntegerAlgorithms.o libs/bigint/BigInteger.o libs/bigint/BigIntegerUtils.o
443 OBJS += libs/bigint/BigUnsigned.o libs/bigint/BigUnsignedInABase.o
444
445 OBJS += libs/sha1/sha1.o
446
447 ifneq ($(SMALL),1)
448
449 OBJS += libs/subcircuit/subcircuit.o
450
451 OBJS += libs/ezsat/ezsat.o
452 OBJS += libs/ezsat/ezminisat.o
453
454 OBJS += libs/minisat/Options.o
455 OBJS += libs/minisat/SimpSolver.o
456 OBJS += libs/minisat/Solver.o
457 OBJS += libs/minisat/System.o
458
459 include $(YOSYS_SRC)/frontends/*/Makefile.inc
460 include $(YOSYS_SRC)/passes/*/Makefile.inc
461 include $(YOSYS_SRC)/backends/*/Makefile.inc
462 include $(YOSYS_SRC)/techlibs/*/Makefile.inc
463
464 else
465
466 include frontends/verilog/Makefile.inc
467 include frontends/ilang/Makefile.inc
468 include frontends/ast/Makefile.inc
469 include frontends/blif/Makefile.inc
470
471 OBJS += passes/hierarchy/hierarchy.o
472 OBJS += passes/cmds/select.o
473 OBJS += passes/cmds/show.o
474 OBJS += passes/cmds/stat.o
475 OBJS += passes/cmds/cover.o
476 OBJS += passes/cmds/design.o
477 OBJS += passes/cmds/plugin.o
478
479 include passes/proc/Makefile.inc
480 include passes/opt/Makefile.inc
481 include passes/techmap/Makefile.inc
482
483 include backends/verilog/Makefile.inc
484 include backends/ilang/Makefile.inc
485
486 include techlibs/common/Makefile.inc
487
488 endif
489
490 ifeq ($(LINK_ABC),1)
491 OBJS += yosys-libabc.a
492 endif
493
494 top-all: $(TARGETS) $(EXTRA_TARGETS)
495 @echo ""
496 @echo " Build successful."
497 @echo ""
498
499 ifeq ($(CONFIG),emcc)
500 yosys.js: $(filter-out yosysjs-$(YOSYS_VER).zip,$(EXTRA_TARGETS))
501 endif
502
503 yosys$(EXE): $(OBJS)
504 $(P) $(LD) -o yosys$(EXE) $(LDFLAGS) $(OBJS) $(LDLIBS)
505
506 libyosys.so: $(filter-out kernel/driver.o,$(OBJS))
507 $(P) $(LD) -o libyosys.so -shared -Wl,-soname,libyosys.so $(LDFLAGS) $^ $(LDLIBS)
508
509 %.o: %.cc
510 $(Q) mkdir -p $(dir $@)
511 $(P) $(CXX) -o $@ -c $(CPPFLAGS) $(CXXFLAGS) $<
512
513 %.o: %.cpp
514 $(Q) mkdir -p $(dir $@)
515 $(P) $(CXX) -o $@ -c $(CPPFLAGS) $(CXXFLAGS) $<
516
517 YOSYS_VER_STR := Yosys $(YOSYS_VER) (git sha1 $(GIT_REV), $(notdir $(CXX)) $(shell \
518 $(CXX) --version | tr ' ()' '\n' | grep '^[0-9]' | head -n1) $(filter -f% -m% -O% -DNDEBUG,$(CXXFLAGS)))
519
520 kernel/version_$(GIT_REV).cc: $(YOSYS_SRC)/Makefile
521 $(P) rm -f kernel/version_*.o kernel/version_*.d kernel/version_*.cc
522 $(Q) mkdir -p kernel && echo "namespace Yosys { extern const char *yosys_version_str; const char *yosys_version_str=\"$(YOSYS_VER_STR)\"; }" > kernel/version_$(GIT_REV).cc
523
524 ifeq ($(ENABLE_VERIFIC),1)
525 CXXFLAGS_NOVERIFIC = $(foreach v,$(CXXFLAGS),$(if $(findstring $(VERIFIC_DIR),$(v)),,$(v)))
526 LDLIBS_NOVERIFIC = $(foreach v,$(LDLIBS),$(if $(findstring $(VERIFIC_DIR),$(v)),,$(v)))
527 else
528 CXXFLAGS_NOVERIFIC = $(CXXFLAGS)
529 LDLIBS_NOVERIFIC = $(LDLIBS)
530 endif
531
532 yosys-config: misc/yosys-config.in
533 $(P) $(SED) -e 's#@CXXFLAGS@#$(subst -I. -I"$(YOSYS_SRC)",-I"$(DATDIR)/include",$(strip $(CXXFLAGS_NOVERIFIC)))#;' \
534 -e 's#@CXX@#$(strip $(CXX))#;' -e 's#@LDFLAGS@#$(strip $(LDFLAGS) $(PLUGIN_LDFLAGS))#;' -e 's#@LDLIBS@#$(strip $(LDLIBS_NOVERIFIC))#;' \
535 -e 's#@BINDIR@#$(strip $(BINDIR))#;' -e 's#@DATDIR@#$(strip $(DATDIR))#;' < $< > yosys-config
536 $(Q) chmod +x yosys-config
537
538 abc/abc-$(ABCREV)$(EXE) abc/libabc-$(ABCREV).a:
539 $(P)
540 ifneq ($(ABCREV),default)
541 $(Q) if test -d abc/.hg; then \
542 echo 'REEBE: NOP qverpgbel vf n ut jbexvat pbcl! Erzbir nop/ naq er-eha "znxr".' | tr 'A-Za-z' 'N-ZA-Mn-za-m'; false; \
543 fi
544 $(Q) if ( cd abc 2> /dev/null && ! git diff-index --quiet HEAD; ); then \
545 echo 'REEBE: NOP pbagnvaf ybpny zbqvsvpngvbaf! Frg NOPERI=qrsnhyg va Lbflf Znxrsvyr!' | tr 'A-Za-z' 'N-ZA-Mn-za-m'; false; \
546 fi
547 $(Q) if test "`cd abc 2> /dev/null && git rev-parse --short HEAD`" != "$(ABCREV)"; then \
548 test $(ABCPULL) -ne 0 || { echo 'REEBE: NOP abg hc gb qngr naq NOPCHYY frg gb 0 va Znxrsvyr!' | tr 'A-Za-z' 'N-ZA-Mn-za-m'; exit 1; }; \
549 echo "Pulling ABC from $(ABCURL):"; set -x; \
550 test -d abc || git clone $(ABCURL) abc; \
551 cd abc && $(MAKE) DEP= clean && git fetch origin master && git checkout $(ABCREV); \
552 fi
553 endif
554 $(Q) rm -f abc/abc-[0-9a-f]*
555 $(Q) cd abc && $(MAKE) $(S) $(ABCMKARGS) $(if $(filter %.a,$@),PROG="abc-$(ABCREV)",PROG="abc-$(ABCREV)$(EXE)") MSG_PREFIX="$(eval P_OFFSET = 5)$(call P_SHOW)$(eval P_OFFSET = 10) ABC: " $(if $(filter %.a,$@),libabc-$(ABCREV).a)
556
557 ifeq ($(ABCREV),default)
558 .PHONY: abc/abc-$(ABCREV)$(EXE)
559 .PHONY: abc/libabc-$(ABCREV).a
560 endif
561
562 yosys-abc$(EXE): abc/abc-$(ABCREV)$(EXE)
563 $(P) cp abc/abc-$(ABCREV)$(EXE) yosys-abc$(EXE)
564
565 yosys-libabc.a: abc/libabc-$(ABCREV).a
566 $(P) cp abc/libabc-$(ABCREV).a yosys-libabc.a
567
568 ifneq ($(SEED),)
569 SEEDOPT="-S $(SEED)"
570 else
571 SEEDOPT=""
572 endif
573
574 test: $(TARGETS) $(EXTRA_TARGETS)
575 +cd tests/simple && bash run-test.sh $(SEEDOPT)
576 +cd tests/hana && bash run-test.sh $(SEEDOPT)
577 +cd tests/asicworld && bash run-test.sh $(SEEDOPT)
578 # +cd tests/realmath && bash run-test.sh $(SEEDOPT)
579 +cd tests/share && bash run-test.sh $(SEEDOPT)
580 +cd tests/fsm && bash run-test.sh $(SEEDOPT)
581 +cd tests/techmap && bash run-test.sh
582 +cd tests/memories && bash run-test.sh $(SEEDOPT)
583 +cd tests/bram && bash run-test.sh $(SEEDOPT)
584 +cd tests/various && bash run-test.sh
585 +cd tests/sat && bash run-test.sh
586 +cd tests/svinterfaces && bash run-test.sh $(SEEDOPT)
587 +cd tests/opt && bash run-test.sh
588 +cd tests/aiger && bash run-test.sh
589 @echo ""
590 @echo " Passed \"make test\"."
591 @echo ""
592
593 VALGRIND ?= valgrind --error-exitcode=1 --leak-check=full --show-reachable=yes --errors-for-leak-kinds=all
594
595 vgtest: $(TARGETS) $(EXTRA_TARGETS)
596 $(VALGRIND) ./yosys -p 'setattr -mod -unset top; synth' $$( ls tests/simple/*.v | grep -v repwhile.v )
597 @echo ""
598 @echo " Passed \"make vgtest\"."
599 @echo ""
600
601 vloghtb: $(TARGETS) $(EXTRA_TARGETS)
602 +cd tests/vloghtb && bash run-test.sh
603 @echo ""
604 @echo " Passed \"make vloghtb\"."
605 @echo ""
606
607 ystests: $(TARGETS) $(EXTRA_TARGETS)
608 rm -rf tests/ystests
609 git clone https://github.com/YosysHQ/yosys-tests.git tests/ystests
610 +$(MAKE) PATH="$$PWD:$$PATH" -C tests/ystests
611 @echo ""
612 @echo " Finished \"make ystests\"."
613 @echo ""
614
615 # Unit test
616 unit-test: libyosys.so
617 @$(MAKE) -C $(UNITESTPATH) CXX="$(CXX)" CPPFLAGS="$(CPPFLAGS)" \
618 CXXFLAGS="$(CXXFLAGS)" LDLIBS="$(LDLIBS)" ROOTPATH="$(CURDIR)"
619
620 clean-unit-test:
621 @$(MAKE) -C $(UNITESTPATH) clean
622
623 install: $(TARGETS) $(EXTRA_TARGETS)
624 $(INSTALL_SUDO) mkdir -p $(DESTDIR)$(BINDIR)
625 $(INSTALL_SUDO) cp $(TARGETS) $(DESTDIR)$(BINDIR)
626 ifneq ($(filter yosys,$(TARGETS)),)
627 $(INSTALL_SUDO) $(STRIP) -S $(DESTDIR)$(BINDIR)/yosys
628 endif
629 ifneq ($(filter yosys-abc,$(TARGETS)),)
630 $(INSTALL_SUDO) $(STRIP) $(DESTDIR)$(BINDIR)/yosys-abc
631 endif
632 ifneq ($(filter yosys-filterlib,$(TARGETS)),)
633 $(INSTALL_SUDO) $(STRIP) $(DESTDIR)$(BINDIR)/yosys-filterlib
634 endif
635 $(INSTALL_SUDO) mkdir -p $(DESTDIR)$(DATDIR)
636 $(INSTALL_SUDO) cp -r share/. $(DESTDIR)$(DATDIR)/.
637 ifeq ($(ENABLE_LIBYOSYS),1)
638 $(INSTALL_SUDO) cp libyosys.so $(DESTDIR)$(LIBDIR)
639 $(INSTALL_SUDO) $(STRIP) -S $(DESTDIR)$(LIBDIR)/libyosys.so
640 $(INSTALL_SUDO) ldconfig
641 endif
642
643 uninstall:
644 $(INSTALL_SUDO) rm -vf $(addprefix $(DESTDIR)$(BINDIR)/,$(notdir $(TARGETS)))
645 $(INSTALL_SUDO) rm -rvf $(DESTDIR)$(DATDIR)
646 ifeq ($(ENABLE_LIBYOSYS),1)
647 $(INSTALL_SUDO) rm -vf $(DESTDIR)$(LIBDIR)/libyosys.so
648 endif
649
650 update-manual: $(TARGETS) $(EXTRA_TARGETS)
651 cd manual && ../yosys -p 'help -write-tex-command-reference-manual'
652
653 manual: $(TARGETS) $(EXTRA_TARGETS)
654 cd manual && bash appnotes.sh
655 cd manual && bash presentation.sh
656 cd manual && bash manual.sh
657
658 clean:
659 rm -rf share
660 if test -d manual; then cd manual && sh clean.sh; fi
661 rm -f $(OBJS) $(GENFILES) $(TARGETS) $(EXTRA_TARGETS) $(EXTRA_OBJS)
662 rm -f kernel/version_*.o kernel/version_*.cc abc/abc-[0-9a-f]* abc/libabc-[0-9a-f]*.a
663 rm -f libs/*/*.d frontends/*/*.d passes/*/*.d backends/*/*.d kernel/*.d techlibs/*/*.d
664 rm -rf tests/asicworld/*.out tests/asicworld/*.log
665 rm -rf tests/hana/*.out tests/hana/*.log
666 rm -rf tests/simple/*.out tests/simple/*.log
667 rm -rf tests/memories/*.out tests/memories/*.log tests/memories/*.dmp
668 rm -rf tests/sat/*.log tests/techmap/*.log tests/various/*.log
669 rm -rf tests/bram/temp tests/fsm/temp tests/realmath/temp tests/share/temp tests/smv/temp
670 rm -rf vloghtb/Makefile vloghtb/refdat vloghtb/rtl vloghtb/scripts vloghtb/spec vloghtb/check_yosys vloghtb/vloghammer_tb.tar.bz2 vloghtb/temp vloghtb/log_test_*
671 rm -f tests/svinterfaces/*.log_stdout tests/svinterfaces/*.log_stderr tests/svinterfaces/dut_result.txt tests/svinterfaces/reference_result.txt tests/svinterfaces/a.out tests/svinterfaces/*_syn.v tests/svinterfaces/*.diff
672 rm -f tests/tools/cmp_tbdata
673
674 clean-abc:
675 $(MAKE) -C abc DEP= clean
676 rm -f yosys-abc$(EXE) yosys-libabc.a abc/abc-[0-9a-f]* abc/libabc-[0-9a-f]*.a
677
678 mrproper: clean
679 git clean -xdf
680
681 coverage:
682 ./yosys -qp 'help; help -all'
683 rm -rf coverage.info coverage_html
684 lcov --capture -d . --no-external -o coverage.info
685 genhtml coverage.info --output-directory coverage_html
686
687 qtcreator:
688 { for file in $(basename $(OBJS)); do \
689 for prefix in cc y l; do if [ -f $${file}.$${prefix} ]; then echo $$file.$${prefix}; fi; done \
690 done; find backends frontends kernel libs passes -type f \( -name '*.h' -o -name '*.hh' \); } > qtcreator.files
691 { echo .; find backends frontends kernel libs passes -type f \( -name '*.h' -o -name '*.hh' \) -printf '%h\n' | sort -u; } > qtcreator.includes
692 touch qtcreator.config qtcreator.creator
693
694 vcxsrc: $(GENFILES) $(EXTRA_TARGETS)
695 rm -rf yosys-win32-vcxsrc-$(YOSYS_VER){,.zip}
696 set -e; for f in `ls $(filter %.cc %.cpp,$(GENFILES)) $(addsuffix .cc,$(basename $(OBJS))) $(addsuffix .cpp,$(basename $(OBJS))) 2> /dev/null`; do \
697 echo "Analyse: $$f" >&2; cpp -std=c++11 -MM -I. -D_YOSYS_ $$f; done | sed 's,.*:,,; s,//*,/,g; s,/[^/]*/\.\./,/,g; y, \\,\n\n,;' | grep '^[^/]' | sort -u | grep -v kernel/version_ > srcfiles.txt
698 bash misc/create_vcxsrc.sh yosys-win32-vcxsrc $(YOSYS_VER) $(GIT_REV)
699 echo "namespace Yosys { extern const char *yosys_version_str; const char *yosys_version_str=\"Yosys (Version Information Unavailable)\"; }" > kernel/version.cc
700 zip yosys-win32-vcxsrc-$(YOSYS_VER)/genfiles.zip $(GENFILES) kernel/version.cc
701 zip -r yosys-win32-vcxsrc-$(YOSYS_VER).zip yosys-win32-vcxsrc-$(YOSYS_VER)/
702 rm -f srcfiles.txt kernel/version.cc
703
704 ifeq ($(CONFIG),mxe)
705 mxebin: $(TARGETS) $(EXTRA_TARGETS)
706 rm -rf yosys-win32-mxebin-$(YOSYS_VER){,.zip}
707 mkdir -p yosys-win32-mxebin-$(YOSYS_VER)
708 cp -r yosys.exe share/ yosys-win32-mxebin-$(YOSYS_VER)/
709 ifeq ($(ENABLE_ABC),1)
710 cp -r yosys-abc.exe abc/lib/x86/pthreadVC2.dll yosys-win32-mxebin-$(YOSYS_VER)/
711 endif
712 echo -en 'This is Yosys $(YOSYS_VER) for Win32.\r\n' > yosys-win32-mxebin-$(YOSYS_VER)/readme.txt
713 echo -en 'Documentation at http://www.clifford.at/yosys/.\r\n' >> yosys-win32-mxebin-$(YOSYS_VER)/readme.txt
714 zip -r yosys-win32-mxebin-$(YOSYS_VER).zip yosys-win32-mxebin-$(YOSYS_VER)/
715 endif
716
717 config-clean: clean
718 rm -f Makefile.conf
719
720 config-clang: clean
721 echo 'CONFIG := clang' > Makefile.conf
722
723 config-gcc: clean
724 echo 'CONFIG := gcc' > Makefile.conf
725
726 config-gcc-static: clean
727 echo 'CONFIG := gcc-static' > Makefile.conf
728 echo 'ENABLE_PLUGINS := 0' >> Makefile.conf
729 echo 'ENABLE_READLINE := 0' >> Makefile.conf
730 echo 'ENABLE_TCL := 0' >> Makefile.conf
731
732 config-gcc-4.8: clean
733 echo 'CONFIG := gcc-4.8' > Makefile.conf
734
735 config-emcc: clean
736 echo 'CONFIG := emcc' > Makefile.conf
737 echo 'ENABLE_TCL := 0' >> Makefile.conf
738 echo 'ENABLE_ABC := 0' >> Makefile.conf
739 echo 'ENABLE_PLUGINS := 0' >> Makefile.conf
740 echo 'ENABLE_READLINE := 0' >> Makefile.conf
741
742 config-mxe: clean
743 echo 'CONFIG := mxe' > Makefile.conf
744 echo 'ENABLE_PLUGINS := 0' >> Makefile.conf
745
746 config-msys2: clean
747 echo 'CONFIG := msys2' > Makefile.conf
748
749 config-msys2-64: clean
750 echo 'CONFIG := msys2-64' > Makefile.conf
751
752 config-cygwin: clean
753 echo 'CONFIG := cygwin' > Makefile.conf
754
755 config-gcov: clean
756 echo 'CONFIG := gcc' > Makefile.conf
757 echo 'ENABLE_GCOV := 1' >> Makefile.conf
758 echo 'ENABLE_DEBUG := 1' >> Makefile.conf
759
760 config-gprof: clean
761 echo 'CONFIG := gcc' > Makefile.conf
762 echo 'ENABLE_GPROF := 1' >> Makefile.conf
763
764 config-sudo:
765 echo "INSTALL_SUDO := sudo" >> Makefile.conf
766
767 echo-yosys-ver:
768 @echo "$(YOSYS_VER)"
769
770 echo-git-rev:
771 @echo "$(GIT_REV)"
772
773 -include libs/*/*.d
774 -include frontends/*/*.d
775 -include passes/*/*.d
776 -include backends/*/*.d
777 -include kernel/*.d
778 -include techlibs/*/*.d
779
780 .PHONY: all top-all abc test install install-abc manual clean mrproper qtcreator coverage vcxsrc mxebin
781 .PHONY: config-clean config-clang config-gcc config-gcc-static config-gcc-4.8 config-gprof config-sudo
782