0ce717294ac94c43547d99d58a33faf4ddb8562f
[yosys.git] / README
1
2 A simple example design, based on the Digilent BASYS3 board
3 ===========================================================
4
5 This example uses Yosys for synthesis and Xilinx Vivado
6 for place&route and bit-stream creation.
7
8 Running Yosys:
9 yosys run_yosys.ys
10
11 Running Vivado:
12 vivado -nolog -nojournal -mode batch -source run_vivado.tcl
13
14 Programming board:
15 vivado -nolog -nojournal -mode batch -source run_prog.tcl
16
17 All of the above:
18 bash run.sh
19