Added equiv_miter
[yosys.git] / README
1
2 /-----------------------------------------------------------------------------\
3 | |
4 | yosys -- Yosys Open SYnthesis Suite |
5 | |
6 | Copyright (C) 2012 Clifford Wolf <clifford@clifford.at> |
7 | |
8 | Permission to use, copy, modify, and/or distribute this software for any |
9 | purpose with or without fee is hereby granted, provided that the above |
10 | copyright notice and this permission notice appear in all copies. |
11 | |
12 | THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES |
13 | WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF |
14 | MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR |
15 | ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES |
16 | WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN |
17 | ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF |
18 | OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE. |
19 | |
20 \-----------------------------------------------------------------------------/
21
22
23 yosys -- Yosys Open SYnthesis Suite
24 ===================================
25
26 This is a framework for RTL synthesis tools. It currently has
27 extensive Verilog-2005 support and provides a basic set of
28 synthesis algorithms for various application domains.
29
30 Yosys can be adapted to perform any synthesis job by combining
31 the existing passes (algorithms) using synthesis scripts and
32 adding additional passes as needed by extending the yosys C++
33 code base.
34
35 Yosys is free software licensed under the ISC license (a GPL
36 compatible license that is similar in terms to the MIT license
37 or the 2-clause BSD license).
38
39
40 Web Site
41 ========
42
43 More information and documentation can be found on the Yosys web site:
44
45 http://www.clifford.at/yosys/
46
47
48 Getting Started
49 ===============
50
51 You need a C++ compiler with C++11 support (up-to-date CLANG or GCC is
52 recommended) and some standard tools such as GNU Flex, GNU Bison, and GNU Make.
53 TCL, readline and libffi are optional (see ENABLE_* settings in Makefile).
54 Xdot (graphviz) is used by the "show" command in yosys to display schematics.
55 For example on Ubuntu Linux 14.04 LTS the following commands will install all
56 prerequisites for building yosys:
57
58 $ yosys_deps="build-essential clang bison flex libreadline-dev gawk
59 tcl8.5-dev libffi-dev git mercurial graphviz xdot pkg-config"
60 $ sudo apt-get install $yosys_deps
61
62 There are also pre-compiled Yosys binary packages for Ubuntu and Win32 as well
63 as a source distribution for Visual Studio. Visit the Yosys download page for
64 more information:
65
66 http://www.clifford.at/yosys/download.html
67
68 To configure the build system to use a specific compiler, use one of
69
70 $ make config-clang
71 $ make config-gcc
72
73 For other compilers and build configurations it might be
74 necessary to make some changes to the config section of the
75 Makefile.
76
77 $ vi Makefile ..or..
78 $ vi Makefile.conf
79
80 To build Yosys simply type 'make' in this directory.
81
82 $ make
83 $ make test
84 $ sudo make install
85
86 Note that this also downloads, builds and installs ABC (using yosys-abc
87 as executeable name).
88
89 Yosys can be used with the interactive command shell, with
90 synthesis scripts or with command line arguments. Let's perform
91 a simple synthesis job using the interactive command shell:
92
93 $ ./yosys
94 yosys>
95
96 the command "help" can be used to print a list of all available
97 commands and "help <command>" to print details on the specified command:
98
99 yosys> help help
100
101 reading the design using the verilog frontend:
102
103 yosys> read_verilog tests/simple/fiedler-cooley.v
104
105 writing the design to the console in yosys's internal format:
106
107 yosys> write_ilang
108
109 elaborate design hierarchy:
110
111 yosys> hierarchy
112
113 convert processes ("always" blocks) to netlist elements and perform
114 some simple optimizations:
115
116 yosys> proc; opt
117
118 display design netlist using xdot:
119
120 yosys> show
121
122 the same thing using 'gv' as postscript viewer:
123
124 yosys> show -format ps -viewer gv
125
126 translating netlist to gate logic and perform some simple optimizations:
127
128 yosys> techmap; opt
129
130 write design netlist to a new verilog file:
131
132 yosys> write_verilog synth.v
133
134 a similar synthesis can be performed using yosys command line options only:
135
136 $ ./yosys -o synth.v -p hierarchy -p proc -p opt \
137 -p techmap -p opt tests/simple/fiedler-cooley.v
138
139 or using a simple synthesis script:
140
141 $ cat synth.ys
142 read_verilog tests/simple/fiedler-cooley.v
143 hierarchy; proc; opt; techmap; opt
144 write_verilog synth.v
145
146 $ ./yosys synth.ys
147
148 It is also possible to only have the synthesis commands but not the read/write
149 commands in the synthesis script:
150
151 $ cat synth.ys
152 hierarchy; proc; opt; techmap; opt
153
154 $ ./yosys -o synth.v tests/simple/fiedler-cooley.v synth.ys
155
156 The following very basic synthesis script should work well with all designs:
157
158 # check design hierarchy
159 hierarchy
160
161 # translate processes (always blocks)
162 proc; opt
163
164 # detect and optimize FSM encodings
165 fsm; opt
166
167 # implement memories (arrays)
168 memory; opt
169
170 # convert to gate logic
171 techmap; opt
172
173 If ABC is enabled in the Yosys build configuration and a cell library is given
174 in the liberty file mycells.lib, the following synthesis script will synthesize
175 for the given cell library:
176
177 # the high-level stuff
178 hierarchy; proc; fsm; opt; memory; opt
179
180 # mapping to internal cell library
181 techmap; opt
182
183 # mapping flip-flops to mycells.lib
184 dfflibmap -liberty mycells.lib
185
186 # mapping logic to mycells.lib
187 abc -liberty mycells.lib
188
189 # cleanup
190 clean
191
192 If you do not have a liberty file but want to test this synthesis script,
193 you can use the file techlibs/cmos/cmos_cells.lib from the yosys sources.
194
195 Various more complex liberty files (for testing) can be found here:
196
197 http://vlsiarch.ecen.okstate.edu/flows/MOSIS_SCMOS/latest/..
198 ../cadence/lib/tsmc025/signalstorm/osu025_stdcells.lib
199 ../cadence/lib/ami035/signalstorm/osu035_stdcells.lib
200 ../cadence/lib/tsmc018/signalstorm/osu018_stdcells.lib
201 ../cadence/lib/ami05/signalstorm/osu05_stdcells.lib
202
203 The command "synth" provides a good default synthesis script (see "help synth").
204 If possible a synthesis script should borrow from "synth". For example:
205
206 # the high-level stuff
207 hierarchy
208 synth -run coarse
209
210 # mapping to internal cells
211 techmap; opt -fast
212 dfflibmap -liberty mycells.lib
213 abc -liberty mycells.lib
214 clean
215
216 Yosys is under construction. A more detailed documentation will follow.
217
218
219 Unsupported Verilog-2005 Features
220 =================================
221
222 The following Verilog-2005 features are not supported by
223 yosys and there are currently no plans to add support
224 for them:
225
226 - Non-sythesizable language features as defined in
227 IEC 62142(E):2005 / IEEE Std. 1364.1(E):2002
228
229 - The "tri", "triand", "trior", "wand" and "wor" net types
230
231 - The "config" keyword and library map files
232
233 - The "disable", "primitive" and "specify" statements
234
235 - Latched logic (is synthesized as logic with feedback loops)
236
237
238 Verilog Attributes and non-standard features
239 ============================================
240
241 - The 'full_case' attribute on case statements is supported
242 (also the non-standard "// synopsys full_case" directive)
243
244 - The 'parallel_case' attribute on case statements is supported
245 (also the non-standard "// synopsys parallel_case" directive)
246
247 - The "// synopsys translate_off" and "// synopsys translate_on"
248 directives are also supported (but the use of `ifdef .. `endif
249 is strongly recommended instead).
250
251 - The "nomem2reg" attribute on modules or arrays prohibits the
252 automatic early conversion of arrays to separate registers. This
253 is potentially dangerous. Usually the front-end has good reasons
254 for converting an array to a list of registers. Prohibiting this
255 step will likely result in incorrect synthesis results.
256
257 - The "mem2reg" attribute on modules or arrays forces the early
258 conversion of arrays to separate registers.
259
260 - The "nolatches" attribute on modules or always-blocks
261 prohibits the generation of logic-loops for latches. Instead
262 all not explicitly assigned values default to x-bits. This does
263 not affect clocked storage elements such as flip-flops.
264
265 - The "nosync" attribute on registers prohibits the generation of a
266 storage element. The register itself will always have all bits set
267 to 'x' (undefined). The variable may only be used as blocking assigned
268 temporary variable within an always block. This is mostly used internally
269 by yosys to synthesize verilog functions and access arrays.
270
271 - The "blackbox" attribute on modules is used to mark empty stub modules
272 that have the same ports as the real thing but do not contain information
273 on the internal configuration. This modules are only used by the synthesis
274 passes to identify input and output ports of cells. The verilog backend
275 also does not output blackbox modules on default.
276
277 - The "keep" attribute on cells and wires is used to mark objects that should
278 never be removed by the optimizer. This is used for example for cells that
279 have hidden connections that are not part of the netlist, such as IO pads.
280 Setting the "keep" attribute on a module has the same effect as setting it
281 on all instances of the module.
282
283 - The "init" attribute on wires is set by the frontend when a register is
284 initialized "FPGA-style" with 'reg foo = val'. It can be used during synthesis
285 to add the necessary reset logic.
286
287 - The "top" attribute on a module marks this module as the top of the
288 design hierarchy. The "hierarchy" command sets this attribute when called
289 with "-top". Other commands, such as "flatten" and various backends
290 use this attribute to determine the top module.
291
292 - In addition to the (* ... *) attribute syntax, yosys supports
293 the non-standard {* ... *} attribute syntax to set default attributes
294 for everything that comes after the {* ... *} statement. (Reset
295 by adding an empty {* *} statement.)
296
297 - Modules can be declared with "module mod_name(...);" (with three dots
298 instead of a list of moudle ports). With this syntax it is sufficient
299 to simply declare a module port as 'input' or 'output' in the module
300 body.
301
302 - When defining a macro with `define, all text between tripple double quotes
303 is interpreted as macro body, even if it contains unescaped newlines. The
304 tripple double quotes are removed from the macro body. For example:
305
306 `define MY_MACRO(a, b) """
307 assign a = 23;
308 assign b = 42;
309 """
310
311 - The attribute "via_celltype" can be used to implement a verilog task or
312 function by instantiating the specified cell type. The value is the name
313 of the cell type to use. For functions the name of the output port can
314 be specified by appending it to the cell type separated by a whitespace.
315 The body of the task or function is unused in this case and can be used
316 to specify a behavioral model of the cell type for simulation. For example:
317
318 module my_add3(A, B, C, Y);
319 parameter WIDTH = 8;
320 input [WIDTH-1:0] A, B, C;
321 output [WIDTH-1:0] Y;
322 ...
323 endmodule
324
325 module top;
326 ...
327 (* via_celltype = "my_add3 Y" *)
328 (* via_celltype_defparam_WIDTH = 32 *)
329 function [31:0] add3;
330 input [31:0] A, B, C;
331 begin
332 add3 = A + B + C;
333 end
334 endfunction
335 ...
336 endmodule
337
338 - A limited subset of DPI-C functions is supported. The plugin mechanism
339 (see "help plugin") can be used load .so files with implementations of
340 DPI-C routines. As a non-standard extension it is possible to specify
341 a plugin alias using the "<alias>:" syntax. for example:
342
343 module dpitest;
344 import "DPI-C" function foo:round = real my_round (real);
345 parameter real r = my_round(12.345);
346 endmodule
347
348 $ yosys -p 'plugin -a foo -i /lib/libm.so; read_verilog dpitest.v'
349
350 - Sized constants (the syntax <size>'s?[bodh]<value>) support constant
351 expressions as <size>. If the expresion is not a simple identifier, it
352 must be put in parentheses. Examples: WIDTH'd42, (4+2)'b101010
353
354
355 Supported features from SystemVerilog
356 =====================================
357
358 When read_verilog is called with -sv, it accepts some language features
359 from SystemVerilog:
360
361 - The "assert" statement from SystemVerilog is supported in its most basic
362 form. In module context: "assert property (<expression>);" and within an
363 always block: "assert(<expression>);". It is transformed to a $assert cell
364 that is supported by the "sat" and "write_btor" commands.
365
366 - The keywords "always_comb", "always_ff" and "always_latch", "logic" and
367 "bit" are supported.
368
369
370 Roadmap / Large-scale TODOs
371 ===========================
372
373 - Technology mapping for real-world applications
374 - Improve Xilinx FGPA synthesis (RAMB, CARRY4, SLR, etc.)
375
376 - Implement SAT-based formal equivialence checker
377 - Write equiv pass based on hint-based register mapping
378
379 - Re-implement Verilog frontend (far future)
380 - cleaner (easier to use, harder to use wrong) AST format
381 - pipeline of well structured AST transformations
382 - true contextual name lookup
383
384
385 Other Unsorted TODOs
386 ====================
387
388 - Implement missing Verilog 2005 features:
389
390 - Support for real (float) const. expressions and parameters
391 - Ignore what needs to be ignored (e.g. drive and charge strengths)
392 - Check standard vs. implementation to identify missing features
393
394 - Miscellaneous TODO items:
395
396 - Add brief source code documentation to most passes and kernel code
397 - Implement mux-to-tribuf pass and rebalance mixed mux/tribuf trees
398