log_warning_noprefix -> log_warning as per review
[yosys.git] / README.md
1 ```
2 yosys -- Yosys Open SYnthesis Suite
3
4 Copyright (C) 2012 - 2018 Clifford Wolf <clifford@clifford.at>
5
6 Permission to use, copy, modify, and/or distribute this software for any
7 purpose with or without fee is hereby granted, provided that the above
8 copyright notice and this permission notice appear in all copies.
9
10 THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES
11 WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF
12 MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR
13 ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES
14 WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN
15 ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF
16 OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE.
17 ```
18
19
20 yosys – Yosys Open SYnthesis Suite
21 ===================================
22
23 This is a framework for RTL synthesis tools. It currently has
24 extensive Verilog-2005 support and provides a basic set of
25 synthesis algorithms for various application domains.
26
27 Yosys can be adapted to perform any synthesis job by combining
28 the existing passes (algorithms) using synthesis scripts and
29 adding additional passes as needed by extending the yosys C++
30 code base.
31
32 Yosys is free software licensed under the ISC license (a GPL
33 compatible license that is similar in terms to the MIT license
34 or the 2-clause BSD license).
35
36
37 Web Site and Other Resources
38 ============================
39
40 More information and documentation can be found on the Yosys web site:
41 - http://www.clifford.at/yosys/
42
43 The "Documentation" page on the web site contains links to more resources,
44 including a manual that even describes some of the Yosys internals:
45 - http://www.clifford.at/yosys/documentation.html
46
47 The file `CodingReadme` in this directory contains additional information
48 for people interested in using the Yosys C++ APIs.
49
50 Users interested in formal verification might want to use the formal verification
51 front-end for Yosys, SymbiYosys:
52 - https://symbiyosys.readthedocs.io/en/latest/
53 - https://github.com/YosysHQ/SymbiYosys
54
55
56 Setup
57 ======
58
59 You need a C++ compiler with C++11 support (up-to-date CLANG or GCC is
60 recommended) and some standard tools such as GNU Flex, GNU Bison, and GNU Make.
61 TCL, readline and libffi are optional (see ``ENABLE_*`` settings in Makefile).
62 Xdot (graphviz) is used by the ``show`` command in yosys to display schematics.
63
64 For example on Ubuntu Linux 16.04 LTS the following commands will install all
65 prerequisites for building yosys:
66
67 $ sudo apt-get install build-essential clang bison flex \
68 libreadline-dev gawk tcl-dev libffi-dev git \
69 graphviz xdot pkg-config python3 libboost-system-dev \
70 libboost-python-dev libboost-filesystem-dev
71
72 Similarily, on Mac OS X MacPorts or Homebrew can be used to install dependencies:
73
74 $ brew tap Homebrew/bundle && brew bundle
75 $ sudo port install bison flex readline gawk libffi \
76 git graphviz pkgconfig python36 boost
77
78 On FreeBSD use the following command to install all prerequisites:
79
80 # pkg install bison flex readline gawk libffi\
81 git graphviz pkgconfig python3 python36 tcl-wrapper boost-libs
82
83 On FreeBSD system use gmake instead of make. To run tests use:
84 % MAKE=gmake CC=cc gmake test
85
86 For Cygwin use the following command to install all prerequisites, or select these additional packages:
87
88 setup-x86_64.exe -q --packages=bison,flex,gcc-core,gcc-g++,git,libffi-devel,libreadline-devel,make,pkg-config,python3,tcl-devel,boost-build
89
90 There are also pre-compiled Yosys binary packages for Ubuntu and Win32 as well
91 as a source distribution for Visual Studio. Visit the Yosys download page for
92 more information: http://www.clifford.at/yosys/download.html
93
94 To configure the build system to use a specific compiler, use one of
95
96 $ make config-clang
97 $ make config-gcc
98
99 For other compilers and build configurations it might be
100 necessary to make some changes to the config section of the
101 Makefile.
102
103 $ vi Makefile # ..or..
104 $ vi Makefile.conf
105
106 To build Yosys simply type 'make' in this directory.
107
108 $ make
109 $ sudo make install
110
111 Note that this also downloads, builds and installs ABC (using yosys-abc
112 as executable name).
113
114 Tests are located in the tests subdirectory and can be executed using the test target. Note that you need gawk as well as a recent version of iverilog (i.e. build from git). Then, execute tests via:
115
116 $ make test
117
118 Getting Started
119 ===============
120
121 Yosys can be used with the interactive command shell, with
122 synthesis scripts or with command line arguments. Let's perform
123 a simple synthesis job using the interactive command shell:
124
125 $ ./yosys
126 yosys>
127
128 the command ``help`` can be used to print a list of all available
129 commands and ``help <command>`` to print details on the specified command:
130
131 yosys> help help
132
133 reading the design using the Verilog frontend:
134
135 yosys> read_verilog tests/simple/fiedler-cooley.v
136
137 writing the design to the console in Yosys's internal format:
138
139 yosys> write_ilang
140
141 elaborate design hierarchy:
142
143 yosys> hierarchy
144
145 convert processes (``always`` blocks) to netlist elements and perform
146 some simple optimizations:
147
148 yosys> proc; opt
149
150 display design netlist using ``xdot``:
151
152 yosys> show
153
154 the same thing using ``gv`` as postscript viewer:
155
156 yosys> show -format ps -viewer gv
157
158 translating netlist to gate logic and perform some simple optimizations:
159
160 yosys> techmap; opt
161
162 write design netlist to a new Verilog file:
163
164 yosys> write_verilog synth.v
165
166 a similar synthesis can be performed using yosys command line options only:
167
168 $ ./yosys -o synth.v -p hierarchy -p proc -p opt \
169 -p techmap -p opt tests/simple/fiedler-cooley.v
170
171 or using a simple synthesis script:
172
173 $ cat synth.ys
174 read_verilog tests/simple/fiedler-cooley.v
175 hierarchy; proc; opt; techmap; opt
176 write_verilog synth.v
177
178 $ ./yosys synth.ys
179
180 It is also possible to only have the synthesis commands but not the read/write
181 commands in the synthesis script:
182
183 $ cat synth.ys
184 hierarchy; proc; opt; techmap; opt
185
186 $ ./yosys -o synth.v tests/simple/fiedler-cooley.v synth.ys
187
188 The following very basic synthesis script should work well with all designs:
189
190 # check design hierarchy
191 hierarchy
192
193 # translate processes (always blocks)
194 proc; opt
195
196 # detect and optimize FSM encodings
197 fsm; opt
198
199 # implement memories (arrays)
200 memory; opt
201
202 # convert to gate logic
203 techmap; opt
204
205 If ABC is enabled in the Yosys build configuration and a cell library is given
206 in the liberty file ``mycells.lib``, the following synthesis script will
207 synthesize for the given cell library:
208
209 # the high-level stuff
210 hierarchy; proc; fsm; opt; memory; opt
211
212 # mapping to internal cell library
213 techmap; opt
214
215 # mapping flip-flops to mycells.lib
216 dfflibmap -liberty mycells.lib
217
218 # mapping logic to mycells.lib
219 abc -liberty mycells.lib
220
221 # cleanup
222 clean
223
224 If you do not have a liberty file but want to test this synthesis script,
225 you can use the file ``examples/cmos/cmos_cells.lib`` from the yosys sources.
226
227 Liberty file downloads for and information about free and open ASIC standard
228 cell libraries can be found here:
229
230 - http://www.vlsitechnology.org/html/libraries.html
231 - http://www.vlsitechnology.org/synopsys/vsclib013.lib
232
233 The command ``synth`` provides a good default synthesis script (see
234 ``help synth``). If possible a synthesis script should borrow from ``synth``.
235 For example:
236
237 # the high-level stuff
238 hierarchy
239 synth -run coarse
240
241 # mapping to internal cells
242 techmap; opt -fast
243 dfflibmap -liberty mycells.lib
244 abc -liberty mycells.lib
245 clean
246
247 Yosys is under construction. A more detailed documentation will follow.
248
249
250 Unsupported Verilog-2005 Features
251 =================================
252
253 The following Verilog-2005 features are not supported by
254 Yosys and there are currently no plans to add support
255 for them:
256
257 - Non-synthesizable language features as defined in
258 IEC 62142(E):2005 / IEEE Std. 1364.1(E):2002
259
260 - The ``tri``, ``triand``, ``trior``, ``wand`` and ``wor`` net types
261
262 - The ``config`` keyword and library map files
263
264 - The ``disable``, ``primitive`` and ``specify`` statements
265
266 - Latched logic (is synthesized as logic with feedback loops)
267
268
269 Verilog Attributes and non-standard features
270 ============================================
271
272 - The ``full_case`` attribute on case statements is supported
273 (also the non-standard ``// synopsys full_case`` directive)
274
275 - The ``parallel_case`` attribute on case statements is supported
276 (also the non-standard ``// synopsys parallel_case`` directive)
277
278 - The ``// synopsys translate_off`` and ``// synopsys translate_on``
279 directives are also supported (but the use of ``` `ifdef .. `endif ```
280 is strongly recommended instead).
281
282 - The ``nomem2reg`` attribute on modules or arrays prohibits the
283 automatic early conversion of arrays to separate registers. This
284 is potentially dangerous. Usually the front-end has good reasons
285 for converting an array to a list of registers. Prohibiting this
286 step will likely result in incorrect synthesis results.
287
288 - The ``mem2reg`` attribute on modules or arrays forces the early
289 conversion of arrays to separate registers.
290
291 - The ``nomeminit`` attribute on modules or arrays prohibits the
292 creation of initialized memories. This effectively puts ``mem2reg``
293 on all memories that are written to in an ``initial`` block and
294 are not ROMs.
295
296 - The ``nolatches`` attribute on modules or always-blocks
297 prohibits the generation of logic-loops for latches. Instead
298 all not explicitly assigned values default to x-bits. This does
299 not affect clocked storage elements such as flip-flops.
300
301 - The ``nosync`` attribute on registers prohibits the generation of a
302 storage element. The register itself will always have all bits set
303 to 'x' (undefined). The variable may only be used as blocking assigned
304 temporary variable within an always block. This is mostly used internally
305 by Yosys to synthesize Verilog functions and access arrays.
306
307 - The ``onehot`` attribute on wires mark them as one-hot state register. This
308 is used for example for memory port sharing and set by the fsm_map pass.
309
310 - The ``blackbox`` attribute on modules is used to mark empty stub modules
311 that have the same ports as the real thing but do not contain information
312 on the internal configuration. This modules are only used by the synthesis
313 passes to identify input and output ports of cells. The Verilog backend
314 also does not output blackbox modules on default. ``read_verilog``, unless
315 called with ``-noblackbox`` will automatically set the blackbox attribute
316 on any empty module it reads.
317
318 - The ``noblackbox`` attribute set on an empty module prevents ``read_verilog``
319 from automatically setting the blackbox attribute on the module.
320
321 - The ``whitebox`` attribute on modules triggers the same behavior as
322 ``blackbox``, but is for whitebox modules, i.e. library modules that
323 contain a behavioral model of the cell type.
324
325 - The ``lib_whitebox`` attribute overwrites ``whitebox`` when ``read_verilog``
326 is run in `-lib` mode. Otherwise it's automatically removed.
327
328 - The ``dynports`` attribute is used by the Verilog front-end to mark modules
329 that have ports with a width that depends on a parameter.
330
331 - The ``hdlname`` attribute is used by some passes to document the original
332 (HDL) name of a module when renaming a module.
333
334 - The ``keep`` attribute on cells and wires is used to mark objects that should
335 never be removed by the optimizer. This is used for example for cells that
336 have hidden connections that are not part of the netlist, such as IO pads.
337 Setting the ``keep`` attribute on a module has the same effect as setting it
338 on all instances of the module.
339
340 - The ``keep_hierarchy`` attribute on cells and modules keeps the ``flatten``
341 command from flattening the indicated cells and modules.
342
343 - The ``init`` attribute on wires is set by the frontend when a register is
344 initialized "FPGA-style" with ``reg foo = val``. It can be used during
345 synthesis to add the necessary reset logic.
346
347 - The ``top`` attribute on a module marks this module as the top of the
348 design hierarchy. The ``hierarchy`` command sets this attribute when called
349 with ``-top``. Other commands, such as ``flatten`` and various backends
350 use this attribute to determine the top module.
351
352 - The ``src`` attribute is set on cells and wires created by to the string
353 ``<hdl-file-name>:<line-number>`` by the HDL front-end and is then carried
354 through the synthesis. When entities are combined, a new |-separated
355 string is created that contains all the string from the original entities.
356
357 - In addition to the ``(* ... *)`` attribute syntax, Yosys supports
358 the non-standard ``{* ... *}`` attribute syntax to set default attributes
359 for everything that comes after the ``{* ... *}`` statement. (Reset
360 by adding an empty ``{* *}`` statement.)
361
362 - In module parameter and port declarations, and cell port and parameter
363 lists, a trailing comma is ignored. This simplifies writing Verilog code
364 generators a bit in some cases.
365
366 - Modules can be declared with ``module mod_name(...);`` (with three dots
367 instead of a list of module ports). With this syntax it is sufficient
368 to simply declare a module port as 'input' or 'output' in the module
369 body.
370
371 - When defining a macro with `define, all text between triple double quotes
372 is interpreted as macro body, even if it contains unescaped newlines. The
373 triple double quotes are removed from the macro body. For example:
374
375 `define MY_MACRO(a, b) """
376 assign a = 23;
377 assign b = 42;
378 """
379
380 - The attribute ``via_celltype`` can be used to implement a Verilog task or
381 function by instantiating the specified cell type. The value is the name
382 of the cell type to use. For functions the name of the output port can
383 be specified by appending it to the cell type separated by a whitespace.
384 The body of the task or function is unused in this case and can be used
385 to specify a behavioral model of the cell type for simulation. For example:
386
387 module my_add3(A, B, C, Y);
388 parameter WIDTH = 8;
389 input [WIDTH-1:0] A, B, C;
390 output [WIDTH-1:0] Y;
391 ...
392 endmodule
393
394 module top;
395 ...
396 (* via_celltype = "my_add3 Y" *)
397 (* via_celltype_defparam_WIDTH = 32 *)
398 function [31:0] add3;
399 input [31:0] A, B, C;
400 begin
401 add3 = A + B + C;
402 end
403 endfunction
404 ...
405 endmodule
406
407 - A limited subset of DPI-C functions is supported. The plugin mechanism
408 (see ``help plugin``) can be used to load .so files with implementations
409 of DPI-C routines. As a non-standard extension it is possible to specify
410 a plugin alias using the ``<alias>:`` syntax. For example:
411
412 module dpitest;
413 import "DPI-C" function foo:round = real my_round (real);
414 parameter real r = my_round(12.345);
415 endmodule
416
417 $ yosys -p 'plugin -a foo -i /lib/libm.so; read_verilog dpitest.v'
418
419 - Sized constants (the syntax ``<size>'s?[bodh]<value>``) support constant
420 expressions as <size>. If the expression is not a simple identifier, it
421 must be put in parentheses. Examples: ``WIDTH'd42``, ``(4+2)'b101010``
422
423 - The system tasks ``$finish`` and ``$display`` are supported in initial blocks
424 in an unconditional context (only if/case statements on parameters
425 and constant values). The intended use for this is synthesis-time DRC.
426
427
428 Non-standard or SystemVerilog features for formal verification
429 ==============================================================
430
431 - Support for ``assert``, ``assume``, ``restrict``, and ``cover`` is enabled
432 when ``read_verilog`` is called with ``-formal``.
433
434 - The system task ``$initstate`` evaluates to 1 in the initial state and
435 to 0 otherwise.
436
437 - The system function ``$anyconst`` evaluates to any constant value. This is
438 equivalent to declaring a reg as ``rand const``, but also works outside
439 of checkers. (Yosys also supports ``rand const`` outside checkers.)
440
441 - The system function ``$anyseq`` evaluates to any value, possibly a different
442 value in each cycle. This is equivalent to declaring a reg as ``rand``,
443 but also works outside of checkers. (Yosys also supports ``rand``
444 variables outside checkers.)
445
446 - The system functions ``$allconst`` and ``$allseq`` can be used to construct
447 formal exist-forall problems. Assumptions only hold if the trace satisfies
448 the assumption for all ``$allconst/$allseq`` values. For assertions and cover
449 statements it is sufficient if just one ``$allconst/$allseq`` value triggers
450 the property (similar to ``$anyconst/$anyseq``).
451
452 - Wires/registers declared using the ``anyconst/anyseq/allconst/allseq`` attribute
453 (for example ``(* anyconst *) reg [7:0] foobar;``) will behave as if driven
454 by a ``$anyconst/$anyseq/$allconst/$allseq`` function.
455
456 - The SystemVerilog tasks ``$past``, ``$stable``, ``$rose`` and ``$fell`` are
457 supported in any clocked block.
458
459 - The syntax ``@($global_clock)`` can be used to create FFs that have no
460 explicit clock input (``$ff`` cells). The same can be achieved by using
461 ``@(posedge <netname>)`` or ``@(negedge <netname>)`` when ``<netname>``
462 is marked with the ``(* gclk *)`` Verilog attribute.
463
464
465 Supported features from SystemVerilog
466 =====================================
467
468 When ``read_verilog`` is called with ``-sv``, it accepts some language features
469 from SystemVerilog:
470
471 - The ``assert`` statement from SystemVerilog is supported in its most basic
472 form. In module context: ``assert property (<expression>);`` and within an
473 always block: ``assert(<expression>);``. It is transformed to an ``$assert`` cell.
474
475 - The ``assume``, ``restrict``, and ``cover`` statements from SystemVerilog are
476 also supported. The same limitations as with the ``assert`` statement apply.
477
478 - The keywords ``always_comb``, ``always_ff`` and ``always_latch``, ``logic``
479 and ``bit`` are supported.
480
481 - Declaring free variables with ``rand`` and ``rand const`` is supported.
482
483 - Checkers without a port list that do not need to be instantiated (but instead
484 behave like a named block) are supported.
485
486 - SystemVerilog packages are supported. Once a SystemVerilog file is read
487 into a design with ``read_verilog``, all its packages are available to
488 SystemVerilog files being read into the same design afterwards.
489
490 - SystemVerilog interfaces (SVIs) are supported. Modports for specifying whether
491 ports are inputs or outputs are supported.
492
493
494 Building the documentation
495 ==========================
496
497 Note that there is no need to build the manual if you just want to read it.
498 Simply download the PDF from http://www.clifford.at/yosys/documentation.html
499 instead.
500
501 On Ubuntu, texlive needs these packages to be able to build the manual:
502
503 sudo apt-get install texlive-binaries
504 sudo apt-get install texlive-science # install algorithm2e.sty
505 sudo apt-get install texlive-bibtex-extra # gets multibib.sty
506 sudo apt-get install texlive-fonts-extra # gets skull.sty and dsfont.sty
507 sudo apt-get install texlive-publishers # IEEEtran.cls
508
509 Also the non-free font luximono should be installed, there is unfortunately
510 no Ubuntu package for this so it should be installed separately using
511 `getnonfreefonts`:
512
513 wget https://tug.org/fonts/getnonfreefonts/install-getnonfreefonts
514 sudo texlua install-getnonfreefonts # will install to /usr/local by default, can be changed by editing BINDIR at MANDIR at the top of the script
515 getnonfreefonts luximono # installs to /home/user/texmf
516
517 Then execute, from the root of the repository:
518
519 make manual
520
521 Notes:
522
523 - To run `make manual` you need to have installed Yosys with `make install`,
524 otherwise it will fail on finding `kernel/yosys.h` while building
525 `PRESENTATION_Prog`.