cxxrtl: simplify unbuffering of input wires.
[yosys.git] / backends / cxxrtl / cxxrtl_backend.cc
1 /*
2 * yosys -- Yosys Open SYnthesis Suite
3 *
4 * Copyright (C) 2019-2020 whitequark <whitequark@whitequark.org>
5 *
6 * Permission to use, copy, modify, and/or distribute this software for any
7 * purpose with or without fee is hereby granted, provided that the above
8 * copyright notice and this permission notice appear in all copies.
9 *
10 * THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES
11 * WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF
12 * MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR
13 * ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES
14 * WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN
15 * ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF
16 * OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE.
17 *
18 */
19
20 #include "kernel/rtlil.h"
21 #include "kernel/register.h"
22 #include "kernel/sigtools.h"
23 #include "kernel/utils.h"
24 #include "kernel/celltypes.h"
25 #include "kernel/log.h"
26
27 USING_YOSYS_NAMESPACE
28 PRIVATE_NAMESPACE_BEGIN
29
30 // [[CITE]]
31 // Peter Eades; Xuemin Lin; W. F. Smyth, "A Fast Effective Heuristic For The Feedback Arc Set Problem"
32 // Information Processing Letters, Vol. 47, pp 319-323, 1993
33 // https://pdfs.semanticscholar.org/c7ed/d9acce96ca357876540e19664eb9d976637f.pdf
34
35 // A topological sort (on a cell/wire graph) is always possible in a fully flattened RTLIL design without
36 // processes or logic loops where every wire has a single driver. Logic loops are illegal in RTLIL and wires
37 // with multiple drivers can be split by the `splitnets` pass; however, interdependencies between processes
38 // or module instances can create strongly connected components without introducing evaluation nondeterminism.
39 // We wish to support designs with such benign SCCs (as well as designs with multiple drivers per wire), so
40 // we sort the graph in a way that minimizes feedback arcs. If there are no feedback arcs in the sorted graph,
41 // then a more efficient evaluation method is possible, since eval() will always immediately converge.
42 template<class T>
43 struct Scheduler {
44 struct Vertex {
45 T *data;
46 Vertex *prev, *next;
47 pool<Vertex*, hash_ptr_ops> preds, succs;
48
49 Vertex() : data(NULL), prev(this), next(this) {}
50 Vertex(T *data) : data(data), prev(NULL), next(NULL) {}
51
52 bool empty() const
53 {
54 log_assert(data == NULL);
55 if (next == this) {
56 log_assert(prev == next);
57 return true;
58 }
59 return false;
60 }
61
62 void link(Vertex *list)
63 {
64 log_assert(prev == NULL && next == NULL);
65 next = list;
66 prev = list->prev;
67 list->prev->next = this;
68 list->prev = this;
69 }
70
71 void unlink()
72 {
73 log_assert(prev->next == this && next->prev == this);
74 prev->next = next;
75 next->prev = prev;
76 next = prev = NULL;
77 }
78
79 int delta() const
80 {
81 return succs.size() - preds.size();
82 }
83 };
84
85 std::vector<Vertex*> vertices;
86 Vertex *sources = new Vertex;
87 Vertex *sinks = new Vertex;
88 dict<int, Vertex*> bins;
89
90 ~Scheduler()
91 {
92 delete sources;
93 delete sinks;
94 for (auto bin : bins)
95 delete bin.second;
96 for (auto vertex : vertices)
97 delete vertex;
98 }
99
100 Vertex *add(T *data)
101 {
102 Vertex *vertex = new Vertex(data);
103 vertices.push_back(vertex);
104 return vertex;
105 }
106
107 void relink(Vertex *vertex)
108 {
109 if (vertex->succs.empty())
110 vertex->link(sinks);
111 else if (vertex->preds.empty())
112 vertex->link(sources);
113 else {
114 int delta = vertex->delta();
115 if (!bins.count(delta))
116 bins[delta] = new Vertex;
117 vertex->link(bins[delta]);
118 }
119 }
120
121 Vertex *remove(Vertex *vertex)
122 {
123 vertex->unlink();
124 for (auto pred : vertex->preds) {
125 if (pred == vertex)
126 continue;
127 log_assert(pred->succs[vertex]);
128 pred->unlink();
129 pred->succs.erase(vertex);
130 relink(pred);
131 }
132 for (auto succ : vertex->succs) {
133 if (succ == vertex)
134 continue;
135 log_assert(succ->preds[vertex]);
136 succ->unlink();
137 succ->preds.erase(vertex);
138 relink(succ);
139 }
140 vertex->preds.clear();
141 vertex->succs.clear();
142 return vertex;
143 }
144
145 std::vector<Vertex*> schedule()
146 {
147 std::vector<Vertex*> s1, s2r;
148 for (auto vertex : vertices)
149 relink(vertex);
150 bool bins_empty = false;
151 while (!(sinks->empty() && sources->empty() && bins_empty)) {
152 while (!sinks->empty())
153 s2r.push_back(remove(sinks->next));
154 while (!sources->empty())
155 s1.push_back(remove(sources->next));
156 // Choosing u in this implementation isn't O(1), but the paper handwaves which data structure they suggest
157 // using to get O(1) relinking *and* find-max-key ("it is clear"... no it isn't), so this code uses a very
158 // naive implementation of find-max-key.
159 bins_empty = true;
160 bins.template sort<std::greater<int>>();
161 for (auto bin : bins) {
162 if (!bin.second->empty()) {
163 bins_empty = false;
164 s1.push_back(remove(bin.second->next));
165 break;
166 }
167 }
168 }
169 s1.insert(s1.end(), s2r.rbegin(), s2r.rend());
170 return s1;
171 }
172 };
173
174 bool is_unary_cell(RTLIL::IdString type)
175 {
176 return type.in(
177 ID($not), ID($logic_not), ID($reduce_and), ID($reduce_or), ID($reduce_xor), ID($reduce_xnor), ID($reduce_bool),
178 ID($pos), ID($neg));
179 }
180
181 bool is_binary_cell(RTLIL::IdString type)
182 {
183 return type.in(
184 ID($and), ID($or), ID($xor), ID($xnor), ID($logic_and), ID($logic_or),
185 ID($shl), ID($sshl), ID($shr), ID($sshr), ID($shift), ID($shiftx),
186 ID($eq), ID($ne), ID($eqx), ID($nex), ID($gt), ID($ge), ID($lt), ID($le),
187 ID($add), ID($sub), ID($mul), ID($div), ID($mod));
188 }
189
190 bool is_extending_cell(RTLIL::IdString type)
191 {
192 return !type.in(
193 ID($logic_not), ID($logic_and), ID($logic_or),
194 ID($reduce_and), ID($reduce_or), ID($reduce_xor), ID($reduce_xnor), ID($reduce_bool));
195 }
196
197 bool is_elidable_cell(RTLIL::IdString type)
198 {
199 return is_unary_cell(type) || is_binary_cell(type) || type.in(
200 ID($mux), ID($concat), ID($slice));
201 }
202
203 bool is_sync_ff_cell(RTLIL::IdString type)
204 {
205 return type.in(
206 ID($dff), ID($dffe));
207 }
208
209 bool is_ff_cell(RTLIL::IdString type)
210 {
211 return is_sync_ff_cell(type) || type.in(
212 ID($adff), ID($dffsr), ID($dlatch), ID($dlatchsr), ID($sr));
213 }
214
215 bool is_internal_cell(RTLIL::IdString type)
216 {
217 return type[0] == '$' && !type.begins_with("$paramod");
218 }
219
220 bool is_cxxrtl_blackbox_cell(const RTLIL::Cell *cell)
221 {
222 RTLIL::Module *cell_module = cell->module->design->module(cell->type);
223 log_assert(cell_module != nullptr);
224 return cell_module->get_bool_attribute(ID(cxxrtl_blackbox));
225 }
226
227 enum class CxxrtlPortType {
228 UNKNOWN = 0, // or mixed comb/sync
229 COMB = 1,
230 SYNC = 2,
231 };
232
233 CxxrtlPortType cxxrtl_port_type(const RTLIL::Cell *cell, RTLIL::IdString port)
234 {
235 RTLIL::Module *cell_module = cell->module->design->module(cell->type);
236 if (cell_module == nullptr || !cell_module->get_bool_attribute(ID(cxxrtl_blackbox)))
237 return CxxrtlPortType::UNKNOWN;
238 RTLIL::Wire *cell_output_wire = cell_module->wire(port);
239 log_assert(cell_output_wire != nullptr);
240 bool is_comb = cell_output_wire->get_bool_attribute(ID(cxxrtl_comb));
241 bool is_sync = cell_output_wire->get_bool_attribute(ID(cxxrtl_sync));
242 if (is_comb && is_sync)
243 log_cmd_error("Port `%s.%s' is marked as both `cxxrtl_comb` and `cxxrtl_sync`.\n",
244 log_id(cell_module), log_signal(cell_output_wire));
245 else if (is_comb)
246 return CxxrtlPortType::COMB;
247 else if (is_sync)
248 return CxxrtlPortType::SYNC;
249 return CxxrtlPortType::UNKNOWN;
250 }
251
252 bool is_cxxrtl_comb_port(const RTLIL::Cell *cell, RTLIL::IdString port)
253 {
254 return cxxrtl_port_type(cell, port) == CxxrtlPortType::COMB;
255 }
256
257 bool is_cxxrtl_sync_port(const RTLIL::Cell *cell, RTLIL::IdString port)
258 {
259 return cxxrtl_port_type(cell, port) == CxxrtlPortType::SYNC;
260 }
261
262 struct FlowGraph {
263 struct Node {
264 enum class Type {
265 CONNECT,
266 CELL_SYNC,
267 CELL_EVAL,
268 PROCESS
269 };
270
271 Type type;
272 RTLIL::SigSig connect = {};
273 const RTLIL::Cell *cell = NULL;
274 const RTLIL::Process *process = NULL;
275 };
276
277 std::vector<Node*> nodes;
278 dict<const RTLIL::Wire*, pool<Node*, hash_ptr_ops>> wire_comb_defs, wire_sync_defs, wire_uses;
279 dict<const RTLIL::Wire*, bool> wire_def_elidable, wire_use_elidable;
280
281 ~FlowGraph()
282 {
283 for (auto node : nodes)
284 delete node;
285 }
286
287 void add_defs(Node *node, const RTLIL::SigSpec &sig, bool fully_sync, bool elidable)
288 {
289 for (auto chunk : sig.chunks())
290 if (chunk.wire) {
291 if (fully_sync)
292 wire_sync_defs[chunk.wire].insert(node);
293 else
294 wire_comb_defs[chunk.wire].insert(node);
295 }
296 // Only comb defs of an entire wire in the right order can be elided.
297 if (!fully_sync && sig.is_wire())
298 wire_def_elidable[sig.as_wire()] = elidable;
299 }
300
301 void add_uses(Node *node, const RTLIL::SigSpec &sig)
302 {
303 for (auto chunk : sig.chunks())
304 if (chunk.wire) {
305 wire_uses[chunk.wire].insert(node);
306 // Only a single use of an entire wire in the right order can be elided.
307 // (But the use can include other chunks.)
308 if (!wire_use_elidable.count(chunk.wire))
309 wire_use_elidable[chunk.wire] = true;
310 else
311 wire_use_elidable[chunk.wire] = false;
312 }
313 }
314
315 bool is_elidable(const RTLIL::Wire *wire) const
316 {
317 if (wire_def_elidable.count(wire) && wire_use_elidable.count(wire))
318 return wire_def_elidable.at(wire) && wire_use_elidable.at(wire);
319 return false;
320 }
321
322 // Connections
323 void add_connect_defs_uses(Node *node, const RTLIL::SigSig &conn)
324 {
325 add_defs(node, conn.first, /*fully_sync=*/false, /*elidable=*/true);
326 add_uses(node, conn.second);
327 }
328
329 Node *add_node(const RTLIL::SigSig &conn)
330 {
331 Node *node = new Node;
332 node->type = Node::Type::CONNECT;
333 node->connect = conn;
334 nodes.push_back(node);
335 add_connect_defs_uses(node, conn);
336 return node;
337 }
338
339 // Cells
340 void add_cell_sync_defs(Node *node, const RTLIL::Cell *cell)
341 {
342 // To understand why this node type is necessary and why it produces comb defs, consider a cell
343 // with input \i and sync output \o, used in a design such that \i is connected to \o. This does
344 // not result in a feedback arc because the output is synchronous. However, a naive implementation
345 // of code generation for cells that assigns to inputs, evaluates cells, assigns from outputs
346 // would not be able to immediately converge...
347 //
348 // wire<1> i_tmp;
349 // cell->p_i = i_tmp.curr;
350 // cell->eval();
351 // i_tmp.next = cell->p_o.curr;
352 //
353 // ... since the wire connecting the input and output ports would not be localizable. To solve
354 // this, the cell is split into two scheduling nodes; one exclusively for sync outputs, and
355 // another for inputs and all non-sync outputs. This way the generated code can be rearranged...
356 //
357 // value<1> i_tmp;
358 // i_tmp = cell->p_o.curr;
359 // cell->p_i = i_tmp;
360 // cell->eval();
361 //
362 // eliminating the unnecessary delta cycle. Conceptually, the CELL_SYNC node type is a series of
363 // connections of the form `connect \lhs \cell.\sync_output`; the right-hand side of these is not
364 // expressible as a wire in RTLIL. If it was expressible, then `\cell.\sync_output` would have
365 // a sync def, and this node would be an ordinary CONNECT node, with `\lhs` having a comb def.
366 // Because it isn't, a special node type is used, the right-hand side does not appear anywhere,
367 // and the left-hand side has a comb def.
368 for (auto conn : cell->connections())
369 if (cell->output(conn.first))
370 if (is_cxxrtl_sync_port(cell, conn.first)) {
371 // See note regarding elidability below.
372 add_defs(node, conn.second, /*fully_sync=*/false, /*elidable=*/false);
373 }
374 }
375
376 void add_cell_eval_defs_uses(Node *node, const RTLIL::Cell *cell)
377 {
378 for (auto conn : cell->connections()) {
379 if (cell->output(conn.first)) {
380 if (is_elidable_cell(cell->type))
381 add_defs(node, conn.second, /*fully_sync=*/false, /*elidable=*/true);
382 else if (is_sync_ff_cell(cell->type) || (cell->type == ID($memrd) && cell->getParam(ID::CLK_ENABLE).as_bool()))
383 add_defs(node, conn.second, /*fully_sync=*/true, /*elidable=*/false);
384 else if (is_internal_cell(cell->type))
385 add_defs(node, conn.second, /*fully_sync=*/false, /*elidable=*/false);
386 else if (!is_cxxrtl_sync_port(cell, conn.first)) {
387 // Although at first it looks like outputs of user-defined cells may always be elided, the reality is
388 // more complex. Fully sync outputs produce no defs and so don't participate in elision. Fully comb
389 // outputs are assigned in a different way depending on whether the cell's eval() immediately converged.
390 // Unknown/mixed outputs could be elided, but should be rare in practical designs and don't justify
391 // the infrastructure required to elide outputs of cells with many of them.
392 add_defs(node, conn.second, /*fully_sync=*/false, /*elidable=*/false);
393 }
394 }
395 if (cell->input(conn.first))
396 add_uses(node, conn.second);
397 }
398 }
399
400 Node *add_node(const RTLIL::Cell *cell)
401 {
402 log_assert(cell->known());
403
404 bool has_fully_sync_outputs = false;
405 for (auto conn : cell->connections())
406 if (cell->output(conn.first) && is_cxxrtl_sync_port(cell, conn.first)) {
407 has_fully_sync_outputs = true;
408 break;
409 }
410 if (has_fully_sync_outputs) {
411 Node *node = new Node;
412 node->type = Node::Type::CELL_SYNC;
413 node->cell = cell;
414 nodes.push_back(node);
415 add_cell_sync_defs(node, cell);
416 }
417
418 Node *node = new Node;
419 node->type = Node::Type::CELL_EVAL;
420 node->cell = cell;
421 nodes.push_back(node);
422 add_cell_eval_defs_uses(node, cell);
423 return node;
424 }
425
426 // Processes
427 void add_case_defs_uses(Node *node, const RTLIL::CaseRule *case_)
428 {
429 for (auto &action : case_->actions) {
430 add_defs(node, action.first, /*is_sync=*/false, /*elidable=*/false);
431 add_uses(node, action.second);
432 }
433 for (auto sub_switch : case_->switches) {
434 add_uses(node, sub_switch->signal);
435 for (auto sub_case : sub_switch->cases) {
436 for (auto &compare : sub_case->compare)
437 add_uses(node, compare);
438 add_case_defs_uses(node, sub_case);
439 }
440 }
441 }
442
443 void add_process_defs_uses(Node *node, const RTLIL::Process *process)
444 {
445 add_case_defs_uses(node, &process->root_case);
446 for (auto sync : process->syncs)
447 for (auto action : sync->actions) {
448 if (sync->type == RTLIL::STp || sync->type == RTLIL::STn || sync->type == RTLIL::STe)
449 add_defs(node, action.first, /*is_sync=*/true, /*elidable=*/false);
450 else
451 add_defs(node, action.first, /*is_sync=*/false, /*elidable=*/false);
452 add_uses(node, action.second);
453 }
454 }
455
456 Node *add_node(const RTLIL::Process *process)
457 {
458 Node *node = new Node;
459 node->type = Node::Type::PROCESS;
460 node->process = process;
461 nodes.push_back(node);
462 add_process_defs_uses(node, process);
463 return node;
464 }
465 };
466
467 std::vector<std::string> split_by(const std::string &str, const std::string &sep)
468 {
469 std::vector<std::string> result;
470 size_t prev = 0;
471 while (true) {
472 size_t curr = str.find_first_of(sep, prev);
473 if (curr == std::string::npos) {
474 std::string part = str.substr(prev);
475 if (!part.empty()) result.push_back(part);
476 break;
477 } else {
478 std::string part = str.substr(prev, curr - prev);
479 if (!part.empty()) result.push_back(part);
480 prev = curr + 1;
481 }
482 }
483 return result;
484 }
485
486 std::string escape_cxx_string(const std::string &input)
487 {
488 std::string output = "\"";
489 for (auto c : input) {
490 if (::isprint(c)) {
491 if (c == '\\')
492 output.push_back('\\');
493 output.push_back(c);
494 } else {
495 char l = c & 0xf, h = (c >> 4) & 0xf;
496 output.append("\\x");
497 output.push_back((h < 10 ? '0' + h : 'a' + h - 10));
498 output.push_back((l < 10 ? '0' + l : 'a' + l - 10));
499 }
500 }
501 output.push_back('"');
502 if (output.find('\0') != std::string::npos) {
503 output.insert(0, "std::string {");
504 output.append(stringf(", %zu}", input.size()));
505 }
506 return output;
507 }
508
509 template<class T>
510 std::string get_hdl_name(T *object)
511 {
512 if (object->has_attribute(ID::hdlname))
513 return object->get_string_attribute(ID::hdlname);
514 else
515 return object->name.str().substr(1);
516 }
517
518 struct CxxrtlWorker {
519 bool split_intf = false;
520 std::string intf_filename;
521 std::string design_ns = "cxxrtl_design";
522 std::ostream *impl_f = nullptr;
523 std::ostream *intf_f = nullptr;
524
525 bool run_flatten = false;
526 bool run_proc = false;
527
528 bool unbuffer_internal = false;
529 bool unbuffer_public = false;
530 bool localize_internal = false;
531 bool localize_public = false;
532 bool elide_internal = false;
533 bool elide_public = false;
534
535 bool debug_info = false;
536
537 std::ostringstream f;
538 std::string indent;
539 int temporary = 0;
540
541 dict<const RTLIL::Module*, SigMap> sigmaps;
542 pool<const RTLIL::Wire*> edge_wires;
543 dict<RTLIL::SigBit, RTLIL::SyncType> edge_types;
544 pool<const RTLIL::Memory*> writable_memories;
545 dict<const RTLIL::Cell*, pool<const RTLIL::Cell*>> transparent_for;
546 dict<const RTLIL::Wire*, FlowGraph::Node> elided_wires;
547 dict<const RTLIL::Module*, std::vector<FlowGraph::Node>> schedule;
548 pool<const RTLIL::Wire*> unbuffered_wires;
549 pool<const RTLIL::Wire*> localized_wires;
550 dict<const RTLIL::Wire*, const RTLIL::Wire*> debug_alias_wires;
551 dict<const RTLIL::Wire*, RTLIL::Const> debug_const_wires;
552 dict<const RTLIL::Module*, pool<std::string>> blackbox_specializations;
553 dict<const RTLIL::Module*, bool> eval_converges;
554
555 void inc_indent() {
556 indent += "\t";
557 }
558 void dec_indent() {
559 indent.resize(indent.size() - 1);
560 }
561
562 // RTLIL allows any characters in names other than whitespace. This presents an issue for generating C++ code
563 // because C++ identifiers may be only alphanumeric, cannot clash with C++ keywords, and cannot clash with cxxrtl
564 // identifiers. This issue can be solved with a name mangling scheme. We choose a name mangling scheme that results
565 // in readable identifiers, does not depend on an up-to-date list of C++ keywords, and is easy to apply. Its rules:
566 // 1. All generated identifiers start with `_`.
567 // 1a. Generated identifiers for public names (beginning with `\`) start with `p_`.
568 // 1b. Generated identifiers for internal names (beginning with `$`) start with `i_`.
569 // 2. An underscore is escaped with another underscore, i.e. `__`.
570 // 3. Any other non-alnum character is escaped with underscores around its lowercase hex code, e.g. `@` as `_40_`.
571 std::string mangle_name(const RTLIL::IdString &name)
572 {
573 std::string mangled;
574 bool first = true;
575 for (char c : name.str()) {
576 if (first) {
577 first = false;
578 if (c == '\\')
579 mangled += "p_";
580 else if (c == '$')
581 mangled += "i_";
582 else
583 log_assert(false);
584 } else {
585 if (isalnum(c)) {
586 mangled += c;
587 } else if (c == '_') {
588 mangled += "__";
589 } else {
590 char l = c & 0xf, h = (c >> 4) & 0xf;
591 mangled += '_';
592 mangled += (h < 10 ? '0' + h : 'a' + h - 10);
593 mangled += (l < 10 ? '0' + l : 'a' + l - 10);
594 mangled += '_';
595 }
596 }
597 }
598 return mangled;
599 }
600
601 std::string mangle_module_name(const RTLIL::IdString &name, bool is_blackbox = false)
602 {
603 // Class namespace.
604 if (is_blackbox)
605 return "bb_" + mangle_name(name);
606 return mangle_name(name);
607 }
608
609 std::string mangle_memory_name(const RTLIL::IdString &name)
610 {
611 // Class member namespace.
612 return "memory_" + mangle_name(name);
613 }
614
615 std::string mangle_cell_name(const RTLIL::IdString &name)
616 {
617 // Class member namespace.
618 return "cell_" + mangle_name(name);
619 }
620
621 std::string mangle_wire_name(const RTLIL::IdString &name)
622 {
623 // Class member namespace.
624 return mangle_name(name);
625 }
626
627 std::string mangle(const RTLIL::Module *module)
628 {
629 return mangle_module_name(module->name, /*is_blackbox=*/module->get_bool_attribute(ID(cxxrtl_blackbox)));
630 }
631
632 std::string mangle(const RTLIL::Memory *memory)
633 {
634 return mangle_memory_name(memory->name);
635 }
636
637 std::string mangle(const RTLIL::Cell *cell)
638 {
639 return mangle_cell_name(cell->name);
640 }
641
642 std::string mangle(const RTLIL::Wire *wire)
643 {
644 return mangle_wire_name(wire->name);
645 }
646
647 std::string mangle(RTLIL::SigBit sigbit)
648 {
649 log_assert(sigbit.wire != NULL);
650 if (sigbit.wire->width == 1)
651 return mangle(sigbit.wire);
652 return mangle(sigbit.wire) + "_" + std::to_string(sigbit.offset);
653 }
654
655 std::vector<std::string> template_param_names(const RTLIL::Module *module)
656 {
657 if (!module->has_attribute(ID(cxxrtl_template)))
658 return {};
659
660 if (module->attributes.at(ID(cxxrtl_template)).flags != RTLIL::CONST_FLAG_STRING)
661 log_cmd_error("Attribute `cxxrtl_template' of module `%s' is not a string.\n", log_id(module));
662
663 std::vector<std::string> param_names = split_by(module->get_string_attribute(ID(cxxrtl_template)), " \t");
664 for (const auto &param_name : param_names) {
665 // Various lowercase prefixes (p_, i_, cell_, ...) are used for member variables, so require
666 // parameters to start with an uppercase letter to avoid name conflicts. (This is the convention
667 // in both Verilog and C++, anyway.)
668 if (!isupper(param_name[0]))
669 log_cmd_error("Attribute `cxxrtl_template' of module `%s' includes a parameter `%s', "
670 "which does not start with an uppercase letter.\n",
671 log_id(module), param_name.c_str());
672 }
673 return param_names;
674 }
675
676 std::string template_params(const RTLIL::Module *module, bool is_decl)
677 {
678 std::vector<std::string> param_names = template_param_names(module);
679 if (param_names.empty())
680 return "";
681
682 std::string params = "<";
683 bool first = true;
684 for (const auto &param_name : param_names) {
685 if (!first)
686 params += ", ";
687 first = false;
688 if (is_decl)
689 params += "size_t ";
690 params += param_name;
691 }
692 params += ">";
693 return params;
694 }
695
696 std::string template_args(const RTLIL::Cell *cell)
697 {
698 RTLIL::Module *cell_module = cell->module->design->module(cell->type);
699 log_assert(cell_module != nullptr);
700 if (!cell_module->get_bool_attribute(ID(cxxrtl_blackbox)))
701 return "";
702
703 std::vector<std::string> param_names = template_param_names(cell_module);
704 if (param_names.empty())
705 return "";
706
707 std::string params = "<";
708 bool first = true;
709 for (const auto &param_name : param_names) {
710 if (!first)
711 params += ", ";
712 first = false;
713 params += "/*" + param_name + "=*/";
714 RTLIL::IdString id_param_name = '\\' + param_name;
715 if (!cell->hasParam(id_param_name))
716 log_cmd_error("Cell `%s.%s' does not have a parameter `%s', which is required by the templated module `%s'.\n",
717 log_id(cell->module), log_id(cell), param_name.c_str(), log_id(cell_module));
718 RTLIL::Const param_value = cell->getParam(id_param_name);
719 if (((param_value.flags & ~RTLIL::CONST_FLAG_SIGNED) != 0) || param_value.as_int() < 0)
720 log_cmd_error("Parameter `%s' of cell `%s.%s', which is required by the templated module `%s', "
721 "is not a positive integer.\n",
722 param_name.c_str(), log_id(cell->module), log_id(cell), log_id(cell_module));
723 params += std::to_string(cell->getParam(id_param_name).as_int());
724 }
725 params += ">";
726 return params;
727 }
728
729 std::string fresh_temporary()
730 {
731 return stringf("tmp_%d", temporary++);
732 }
733
734 void dump_attrs(const RTLIL::AttrObject *object)
735 {
736 for (auto attr : object->attributes) {
737 f << indent << "// " << attr.first.str() << ": ";
738 if (attr.second.flags & RTLIL::CONST_FLAG_STRING) {
739 f << attr.second.decode_string();
740 } else {
741 f << attr.second.as_int(/*is_signed=*/attr.second.flags & RTLIL::CONST_FLAG_SIGNED);
742 }
743 f << "\n";
744 }
745 }
746
747 void dump_const_init(const RTLIL::Const &data, int width, int offset = 0, bool fixed_width = false)
748 {
749 const int CHUNK_SIZE = 32;
750 f << "{";
751 while (width > 0) {
752 int chunk_width = min(width, CHUNK_SIZE);
753 uint32_t chunk = data.extract(offset, chunk_width).as_int();
754 if (fixed_width)
755 f << stringf("0x%.*xu", (3 + chunk_width) / 4, chunk);
756 else
757 f << stringf("%#xu", chunk);
758 if (width > CHUNK_SIZE)
759 f << ',';
760 offset += CHUNK_SIZE;
761 width -= CHUNK_SIZE;
762 }
763 f << "}";
764 }
765
766 void dump_const_init(const RTLIL::Const &data)
767 {
768 dump_const_init(data, data.size());
769 }
770
771 void dump_const(const RTLIL::Const &data, int width, int offset = 0, bool fixed_width = false)
772 {
773 f << "value<" << width << ">";
774 dump_const_init(data, width, offset, fixed_width);
775 }
776
777 void dump_const(const RTLIL::Const &data)
778 {
779 dump_const(data, data.size());
780 }
781
782 bool dump_sigchunk(const RTLIL::SigChunk &chunk, bool is_lhs)
783 {
784 if (chunk.wire == NULL) {
785 dump_const(chunk.data, chunk.width, chunk.offset);
786 return false;
787 } else {
788 if (elided_wires.count(chunk.wire)) {
789 log_assert(!is_lhs);
790 const FlowGraph::Node &node = elided_wires[chunk.wire];
791 switch (node.type) {
792 case FlowGraph::Node::Type::CONNECT:
793 dump_connect_elided(node.connect);
794 break;
795 case FlowGraph::Node::Type::CELL_EVAL:
796 log_assert(is_elidable_cell(node.cell->type));
797 dump_cell_elided(node.cell);
798 break;
799 default:
800 log_assert(false);
801 }
802 } else if (unbuffered_wires[chunk.wire]) {
803 f << mangle(chunk.wire);
804 } else {
805 f << mangle(chunk.wire) << (is_lhs ? ".next" : ".curr");
806 }
807 if (chunk.width == chunk.wire->width && chunk.offset == 0)
808 return false;
809 else if (chunk.width == 1)
810 f << ".slice<" << chunk.offset << ">()";
811 else
812 f << ".slice<" << chunk.offset+chunk.width-1 << "," << chunk.offset << ">()";
813 return true;
814 }
815 }
816
817 bool dump_sigspec(const RTLIL::SigSpec &sig, bool is_lhs)
818 {
819 if (sig.empty()) {
820 f << "value<0>()";
821 return false;
822 } else if (sig.is_chunk()) {
823 return dump_sigchunk(sig.as_chunk(), is_lhs);
824 } else {
825 dump_sigchunk(*sig.chunks().rbegin(), is_lhs);
826 for (auto it = sig.chunks().rbegin() + 1; it != sig.chunks().rend(); ++it) {
827 f << ".concat(";
828 dump_sigchunk(*it, is_lhs);
829 f << ")";
830 }
831 return true;
832 }
833 }
834
835 void dump_sigspec_lhs(const RTLIL::SigSpec &sig)
836 {
837 dump_sigspec(sig, /*is_lhs=*/true);
838 }
839
840 void dump_sigspec_rhs(const RTLIL::SigSpec &sig)
841 {
842 // In the contexts where we want template argument deduction to occur for `template<size_t Bits> ... value<Bits>`,
843 // it is necessary to have the argument to already be a `value<N>`, since template argument deduction and implicit
844 // type conversion are mutually exclusive. In these contexts, we use dump_sigspec_rhs() to emit an explicit
845 // type conversion, but only if the expression needs it.
846 bool is_complex = dump_sigspec(sig, /*is_lhs=*/false);
847 if (is_complex)
848 f << ".val()";
849 }
850
851 void collect_sigspec_rhs(const RTLIL::SigSpec &sig, std::vector<RTLIL::IdString> &cells)
852 {
853 for (auto chunk : sig.chunks()) {
854 if (!chunk.wire || !elided_wires.count(chunk.wire))
855 continue;
856
857 const FlowGraph::Node &node = elided_wires[chunk.wire];
858 switch (node.type) {
859 case FlowGraph::Node::Type::CONNECT:
860 collect_connect(node.connect, cells);
861 break;
862 case FlowGraph::Node::Type::CELL_EVAL:
863 collect_cell_eval(node.cell, cells);
864 break;
865 default:
866 log_assert(false);
867 }
868 }
869 }
870
871 void dump_connect_elided(const RTLIL::SigSig &conn)
872 {
873 dump_sigspec_rhs(conn.second);
874 }
875
876 bool is_connect_elided(const RTLIL::SigSig &conn)
877 {
878 return conn.first.is_wire() && elided_wires.count(conn.first.as_wire());
879 }
880
881 void collect_connect(const RTLIL::SigSig &conn, std::vector<RTLIL::IdString> &cells)
882 {
883 if (!is_connect_elided(conn))
884 return;
885
886 collect_sigspec_rhs(conn.second, cells);
887 }
888
889 void dump_connect(const RTLIL::SigSig &conn)
890 {
891 if (is_connect_elided(conn))
892 return;
893
894 f << indent << "// connection\n";
895 f << indent;
896 dump_sigspec_lhs(conn.first);
897 f << " = ";
898 dump_connect_elided(conn);
899 f << ";\n";
900 }
901
902 void dump_cell_sync(const RTLIL::Cell *cell)
903 {
904 const char *access = is_cxxrtl_blackbox_cell(cell) ? "->" : ".";
905 f << indent << "// cell " << cell->name.str() << " syncs\n";
906 for (auto conn : cell->connections())
907 if (cell->output(conn.first))
908 if (is_cxxrtl_sync_port(cell, conn.first)) {
909 f << indent;
910 dump_sigspec_lhs(conn.second);
911 f << " = " << mangle(cell) << access << mangle_wire_name(conn.first) << ".curr;\n";
912 }
913 }
914
915 void dump_cell_elided(const RTLIL::Cell *cell)
916 {
917 // Unary cells
918 if (is_unary_cell(cell->type)) {
919 f << cell->type.substr(1);
920 if (is_extending_cell(cell->type))
921 f << '_' << (cell->getParam(ID::A_SIGNED).as_bool() ? 's' : 'u');
922 f << "<" << cell->getParam(ID::Y_WIDTH).as_int() << ">(";
923 dump_sigspec_rhs(cell->getPort(ID::A));
924 f << ")";
925 // Binary cells
926 } else if (is_binary_cell(cell->type)) {
927 f << cell->type.substr(1);
928 if (is_extending_cell(cell->type))
929 f << '_' << (cell->getParam(ID::A_SIGNED).as_bool() ? 's' : 'u') <<
930 (cell->getParam(ID::B_SIGNED).as_bool() ? 's' : 'u');
931 f << "<" << cell->getParam(ID::Y_WIDTH).as_int() << ">(";
932 dump_sigspec_rhs(cell->getPort(ID::A));
933 f << ", ";
934 dump_sigspec_rhs(cell->getPort(ID::B));
935 f << ")";
936 // Muxes
937 } else if (cell->type == ID($mux)) {
938 f << "(";
939 dump_sigspec_rhs(cell->getPort(ID::S));
940 f << " ? ";
941 dump_sigspec_rhs(cell->getPort(ID::B));
942 f << " : ";
943 dump_sigspec_rhs(cell->getPort(ID::A));
944 f << ")";
945 // Concats
946 } else if (cell->type == ID($concat)) {
947 dump_sigspec_rhs(cell->getPort(ID::B));
948 f << ".concat(";
949 dump_sigspec_rhs(cell->getPort(ID::A));
950 f << ").val()";
951 // Slices
952 } else if (cell->type == ID($slice)) {
953 dump_sigspec_rhs(cell->getPort(ID::A));
954 f << ".slice<";
955 f << cell->getParam(ID::OFFSET).as_int() + cell->getParam(ID::Y_WIDTH).as_int() - 1;
956 f << ",";
957 f << cell->getParam(ID::OFFSET).as_int();
958 f << ">().val()";
959 } else {
960 log_assert(false);
961 }
962 }
963
964 bool is_cell_elided(const RTLIL::Cell *cell)
965 {
966 return is_elidable_cell(cell->type) && cell->hasPort(ID::Y) && cell->getPort(ID::Y).is_wire() &&
967 elided_wires.count(cell->getPort(ID::Y).as_wire());
968 }
969
970 void collect_cell_eval(const RTLIL::Cell *cell, std::vector<RTLIL::IdString> &cells)
971 {
972 if (!is_cell_elided(cell))
973 return;
974
975 cells.push_back(cell->name);
976 for (auto port : cell->connections())
977 if (port.first != ID::Y)
978 collect_sigspec_rhs(port.second, cells);
979 }
980
981 void dump_cell_eval(const RTLIL::Cell *cell)
982 {
983 if (is_cell_elided(cell))
984 return;
985 if (cell->type == ID($meminit))
986 return; // Handled elsewhere.
987
988 std::vector<RTLIL::IdString> elided_cells;
989 if (is_elidable_cell(cell->type)) {
990 for (auto port : cell->connections())
991 if (port.first != ID::Y)
992 collect_sigspec_rhs(port.second, elided_cells);
993 }
994 if (elided_cells.empty()) {
995 dump_attrs(cell);
996 f << indent << "// cell " << cell->name.str() << "\n";
997 } else {
998 f << indent << "// cells";
999 for (auto elided_cell : elided_cells)
1000 f << " " << elided_cell.str();
1001 f << "\n";
1002 }
1003
1004 // Elidable cells
1005 if (is_elidable_cell(cell->type)) {
1006 f << indent;
1007 dump_sigspec_lhs(cell->getPort(ID::Y));
1008 f << " = ";
1009 dump_cell_elided(cell);
1010 f << ";\n";
1011 // Parallel (one-hot) muxes
1012 } else if (cell->type == ID($pmux)) {
1013 int width = cell->getParam(ID::WIDTH).as_int();
1014 int s_width = cell->getParam(ID::S_WIDTH).as_int();
1015 bool first = true;
1016 for (int part = 0; part < s_width; part++) {
1017 f << (first ? indent : " else ");
1018 first = false;
1019 f << "if (";
1020 dump_sigspec_rhs(cell->getPort(ID::S).extract(part));
1021 f << ") {\n";
1022 inc_indent();
1023 f << indent;
1024 dump_sigspec_lhs(cell->getPort(ID::Y));
1025 f << " = ";
1026 dump_sigspec_rhs(cell->getPort(ID::B).extract(part * width, width));
1027 f << ";\n";
1028 dec_indent();
1029 f << indent << "}";
1030 }
1031 f << " else {\n";
1032 inc_indent();
1033 f << indent;
1034 dump_sigspec_lhs(cell->getPort(ID::Y));
1035 f << " = ";
1036 dump_sigspec_rhs(cell->getPort(ID::A));
1037 f << ";\n";
1038 dec_indent();
1039 f << indent << "}\n";
1040 // Flip-flops
1041 } else if (is_ff_cell(cell->type)) {
1042 if (cell->hasPort(ID::CLK) && cell->getPort(ID::CLK).is_wire()) {
1043 // Edge-sensitive logic
1044 RTLIL::SigBit clk_bit = cell->getPort(ID::CLK)[0];
1045 clk_bit = sigmaps[clk_bit.wire->module](clk_bit);
1046 f << indent << "if (" << (cell->getParam(ID::CLK_POLARITY).as_bool() ? "posedge_" : "negedge_")
1047 << mangle(clk_bit) << ") {\n";
1048 inc_indent();
1049 if (cell->type == ID($dffe)) {
1050 f << indent << "if (";
1051 dump_sigspec_rhs(cell->getPort(ID::EN));
1052 f << " == value<1> {" << cell->getParam(ID::EN_POLARITY).as_bool() << "u}) {\n";
1053 inc_indent();
1054 }
1055 f << indent;
1056 dump_sigspec_lhs(cell->getPort(ID::Q));
1057 f << " = ";
1058 dump_sigspec_rhs(cell->getPort(ID::D));
1059 f << ";\n";
1060 if (cell->type == ID($dffe)) {
1061 dec_indent();
1062 f << indent << "}\n";
1063 }
1064 dec_indent();
1065 f << indent << "}\n";
1066 } else if (cell->hasPort(ID::EN)) {
1067 // Level-sensitive logic
1068 f << indent << "if (";
1069 dump_sigspec_rhs(cell->getPort(ID::EN));
1070 f << " == value<1> {" << cell->getParam(ID::EN_POLARITY).as_bool() << "u}) {\n";
1071 inc_indent();
1072 f << indent;
1073 dump_sigspec_lhs(cell->getPort(ID::Q));
1074 f << " = ";
1075 dump_sigspec_rhs(cell->getPort(ID::D));
1076 f << ";\n";
1077 dec_indent();
1078 f << indent << "}\n";
1079 }
1080 if (cell->hasPort(ID::ARST)) {
1081 // Asynchronous reset (entire coarse cell at once)
1082 f << indent << "if (";
1083 dump_sigspec_rhs(cell->getPort(ID::ARST));
1084 f << " == value<1> {" << cell->getParam(ID::ARST_POLARITY).as_bool() << "u}) {\n";
1085 inc_indent();
1086 f << indent;
1087 dump_sigspec_lhs(cell->getPort(ID::Q));
1088 f << " = ";
1089 dump_const(cell->getParam(ID::ARST_VALUE));
1090 f << ";\n";
1091 dec_indent();
1092 f << indent << "}\n";
1093 }
1094 if (cell->hasPort(ID::SET)) {
1095 // Asynchronous set (for individual bits)
1096 f << indent;
1097 dump_sigspec_lhs(cell->getPort(ID::Q));
1098 f << " = ";
1099 dump_sigspec_lhs(cell->getPort(ID::Q));
1100 f << ".update(";
1101 dump_const(RTLIL::Const(RTLIL::S1, cell->getParam(ID::WIDTH).as_int()));
1102 f << ", ";
1103 dump_sigspec_rhs(cell->getPort(ID::SET));
1104 f << (cell->getParam(ID::SET_POLARITY).as_bool() ? "" : ".bit_not()") << ");\n";
1105 }
1106 if (cell->hasPort(ID::CLR)) {
1107 // Asynchronous clear (for individual bits; priority over set)
1108 f << indent;
1109 dump_sigspec_lhs(cell->getPort(ID::Q));
1110 f << " = ";
1111 dump_sigspec_lhs(cell->getPort(ID::Q));
1112 f << ".update(";
1113 dump_const(RTLIL::Const(RTLIL::S0, cell->getParam(ID::WIDTH).as_int()));
1114 f << ", ";
1115 dump_sigspec_rhs(cell->getPort(ID::CLR));
1116 f << (cell->getParam(ID::CLR_POLARITY).as_bool() ? "" : ".bit_not()") << ");\n";
1117 }
1118 // Memory ports
1119 } else if (cell->type.in(ID($memrd), ID($memwr))) {
1120 if (cell->getParam(ID::CLK_ENABLE).as_bool()) {
1121 RTLIL::SigBit clk_bit = cell->getPort(ID::CLK)[0];
1122 clk_bit = sigmaps[clk_bit.wire->module](clk_bit);
1123 f << indent << "if (" << (cell->getParam(ID::CLK_POLARITY).as_bool() ? "posedge_" : "negedge_")
1124 << mangle(clk_bit) << ") {\n";
1125 inc_indent();
1126 }
1127 RTLIL::Memory *memory = cell->module->memories[cell->getParam(ID::MEMID).decode_string()];
1128 std::string valid_index_temp = fresh_temporary();
1129 f << indent << "auto " << valid_index_temp << " = memory_index(";
1130 dump_sigspec_rhs(cell->getPort(ID::ADDR));
1131 f << ", " << memory->start_offset << ", " << memory->size << ");\n";
1132 if (cell->type == ID($memrd)) {
1133 bool has_enable = cell->getParam(ID::CLK_ENABLE).as_bool() && !cell->getPort(ID::EN).is_fully_ones();
1134 if (has_enable) {
1135 f << indent << "if (";
1136 dump_sigspec_rhs(cell->getPort(ID::EN));
1137 f << ") {\n";
1138 inc_indent();
1139 }
1140 // The generated code has two bounds checks; one in an assertion, and another that guards the read.
1141 // This is done so that the code does not invoke undefined behavior under any conditions, but nevertheless
1142 // loudly crashes if an illegal condition is encountered. The assert may be turned off with -NDEBUG not
1143 // just for release builds, but also to make sure the simulator (which is presumably embedded in some
1144 // larger program) will never crash the code that calls into it.
1145 //
1146 // If assertions are disabled, out of bounds reads are defined to return zero.
1147 f << indent << "assert(" << valid_index_temp << ".valid && \"out of bounds read\");\n";
1148 f << indent << "if(" << valid_index_temp << ".valid) {\n";
1149 inc_indent();
1150 if (writable_memories[memory]) {
1151 std::string addr_temp = fresh_temporary();
1152 f << indent << "const value<" << cell->getPort(ID::ADDR).size() << "> &" << addr_temp << " = ";
1153 dump_sigspec_rhs(cell->getPort(ID::ADDR));
1154 f << ";\n";
1155 std::string lhs_temp = fresh_temporary();
1156 f << indent << "value<" << memory->width << "> " << lhs_temp << " = "
1157 << mangle(memory) << "[" << valid_index_temp << ".index];\n";
1158 std::vector<const RTLIL::Cell*> memwr_cells(transparent_for[cell].begin(), transparent_for[cell].end());
1159 std::sort(memwr_cells.begin(), memwr_cells.end(),
1160 [](const RTLIL::Cell *a, const RTLIL::Cell *b) {
1161 return a->getParam(ID::PRIORITY).as_int() < b->getParam(ID::PRIORITY).as_int();
1162 });
1163 for (auto memwr_cell : memwr_cells) {
1164 f << indent << "if (" << addr_temp << " == ";
1165 dump_sigspec_rhs(memwr_cell->getPort(ID::ADDR));
1166 f << ") {\n";
1167 inc_indent();
1168 f << indent << lhs_temp << " = " << lhs_temp;
1169 f << ".update(";
1170 dump_sigspec_rhs(memwr_cell->getPort(ID::DATA));
1171 f << ", ";
1172 dump_sigspec_rhs(memwr_cell->getPort(ID::EN));
1173 f << ");\n";
1174 dec_indent();
1175 f << indent << "}\n";
1176 }
1177 f << indent;
1178 dump_sigspec_lhs(cell->getPort(ID::DATA));
1179 f << " = " << lhs_temp << ";\n";
1180 } else {
1181 f << indent;
1182 dump_sigspec_lhs(cell->getPort(ID::DATA));
1183 f << " = " << mangle(memory) << "[" << valid_index_temp << ".index];\n";
1184 }
1185 dec_indent();
1186 f << indent << "} else {\n";
1187 inc_indent();
1188 f << indent;
1189 dump_sigspec_lhs(cell->getPort(ID::DATA));
1190 f << " = value<" << memory->width << "> {};\n";
1191 dec_indent();
1192 f << indent << "}\n";
1193 if (has_enable) {
1194 dec_indent();
1195 f << indent << "}\n";
1196 }
1197 } else /*if (cell->type == ID($memwr))*/ {
1198 log_assert(writable_memories[memory]);
1199 // See above for rationale of having both the assert and the condition.
1200 //
1201 // If assertions are disabled, out of bounds writes are defined to do nothing.
1202 f << indent << "assert(" << valid_index_temp << ".valid && \"out of bounds write\");\n";
1203 f << indent << "if (" << valid_index_temp << ".valid) {\n";
1204 inc_indent();
1205 f << indent << mangle(memory) << ".update(" << valid_index_temp << ".index, ";
1206 dump_sigspec_rhs(cell->getPort(ID::DATA));
1207 f << ", ";
1208 dump_sigspec_rhs(cell->getPort(ID::EN));
1209 f << ", " << cell->getParam(ID::PRIORITY).as_int() << ");\n";
1210 dec_indent();
1211 f << indent << "}\n";
1212 }
1213 if (cell->getParam(ID::CLK_ENABLE).as_bool()) {
1214 dec_indent();
1215 f << indent << "}\n";
1216 }
1217 // Internal cells
1218 } else if (is_internal_cell(cell->type)) {
1219 log_cmd_error("Unsupported internal cell `%s'.\n", cell->type.c_str());
1220 // User cells
1221 } else {
1222 log_assert(cell->known());
1223 const char *access = is_cxxrtl_blackbox_cell(cell) ? "->" : ".";
1224 for (auto conn : cell->connections())
1225 if (cell->input(conn.first) && !cell->output(conn.first)) {
1226 f << indent << mangle(cell) << access << mangle_wire_name(conn.first) << " = ";
1227 dump_sigspec_rhs(conn.second);
1228 f << ";\n";
1229 if (getenv("CXXRTL_VOID_MY_WARRANTY")) {
1230 // Until we have proper clock tree detection, this really awful hack that opportunistically
1231 // propagates prev_* values for clocks can be used to estimate how much faster a design could
1232 // be if only one clock edge was simulated by replacing:
1233 // top.p_clk = value<1>{0u}; top.step();
1234 // top.p_clk = value<1>{1u}; top.step();
1235 // with:
1236 // top.prev_p_clk = value<1>{0u}; top.p_clk = value<1>{1u}; top.step();
1237 // Don't rely on this; it will be removed without warning.
1238 RTLIL::Module *cell_module = cell->module->design->module(cell->type);
1239 if (cell_module != nullptr && cell_module->wire(conn.first) && conn.second.is_wire()) {
1240 RTLIL::Wire *cell_module_wire = cell_module->wire(conn.first);
1241 if (edge_wires[conn.second.as_wire()] && edge_wires[cell_module_wire]) {
1242 f << indent << mangle(cell) << access << "prev_" << mangle(cell_module_wire) << " = ";
1243 f << "prev_" << mangle(conn.second.as_wire()) << ";\n";
1244 }
1245 }
1246 }
1247 } else if (cell->input(conn.first)) {
1248 f << indent << mangle(cell) << access << mangle_wire_name(conn.first) << ".next = ";
1249 dump_sigspec_rhs(conn.second);
1250 f << ";\n";
1251 }
1252 auto assign_from_outputs = [&](bool cell_converged) {
1253 for (auto conn : cell->connections()) {
1254 if (cell->output(conn.first)) {
1255 if (conn.second.empty())
1256 continue; // ignore disconnected ports
1257 if (is_cxxrtl_sync_port(cell, conn.first))
1258 continue; // fully sync ports are handled in CELL_SYNC nodes
1259 f << indent;
1260 dump_sigspec_lhs(conn.second);
1261 f << " = " << mangle(cell) << access << mangle_wire_name(conn.first);
1262 // Similarly to how there is no purpose to buffering cell inputs, there is also no purpose to buffering
1263 // combinatorial cell outputs in case the cell converges within one cycle. (To convince yourself that
1264 // this optimization is valid, consider that, since the cell converged within one cycle, it would not
1265 // have any buffered wires if they were not output ports. Imagine inlining the cell's eval() function,
1266 // and consider the fate of the localized wires that used to be output ports.)
1267 //
1268 // Unlike cell inputs (which are never buffered), it is not possible to know apriori whether the cell
1269 // (which may be late bound) will converge immediately. Because of this, the choice between using .curr
1270 // (appropriate for buffered outputs) and .next (appropriate for unbuffered outputs) is made at runtime.
1271 if (cell_converged && is_cxxrtl_comb_port(cell, conn.first))
1272 f << ".next;\n";
1273 else
1274 f << ".curr;\n";
1275 }
1276 }
1277 };
1278 f << indent << "if (" << mangle(cell) << access << "eval()) {\n";
1279 inc_indent();
1280 assign_from_outputs(/*cell_converged=*/true);
1281 dec_indent();
1282 f << indent << "} else {\n";
1283 inc_indent();
1284 f << indent << "converged = false;\n";
1285 assign_from_outputs(/*cell_converged=*/false);
1286 dec_indent();
1287 f << indent << "}\n";
1288 }
1289 }
1290
1291 void dump_assign(const RTLIL::SigSig &sigsig)
1292 {
1293 f << indent;
1294 dump_sigspec_lhs(sigsig.first);
1295 f << " = ";
1296 dump_sigspec_rhs(sigsig.second);
1297 f << ";\n";
1298 }
1299
1300 void dump_case_rule(const RTLIL::CaseRule *rule)
1301 {
1302 for (auto action : rule->actions)
1303 dump_assign(action);
1304 for (auto switch_ : rule->switches)
1305 dump_switch_rule(switch_);
1306 }
1307
1308 void dump_switch_rule(const RTLIL::SwitchRule *rule)
1309 {
1310 // The switch attributes are printed before the switch condition is captured.
1311 dump_attrs(rule);
1312 std::string signal_temp = fresh_temporary();
1313 f << indent << "const value<" << rule->signal.size() << "> &" << signal_temp << " = ";
1314 dump_sigspec(rule->signal, /*is_lhs=*/false);
1315 f << ";\n";
1316
1317 bool first = true;
1318 for (auto case_ : rule->cases) {
1319 // The case attributes (for nested cases) are printed before the if/else if/else statement.
1320 dump_attrs(rule);
1321 f << indent;
1322 if (!first)
1323 f << "} else ";
1324 first = false;
1325 if (!case_->compare.empty()) {
1326 f << "if (";
1327 bool first = true;
1328 for (auto &compare : case_->compare) {
1329 if (!first)
1330 f << " || ";
1331 first = false;
1332 if (compare.is_fully_def()) {
1333 f << signal_temp << " == ";
1334 dump_sigspec(compare, /*is_lhs=*/false);
1335 } else if (compare.is_fully_const()) {
1336 RTLIL::Const compare_mask, compare_value;
1337 for (auto bit : compare.as_const()) {
1338 switch (bit) {
1339 case RTLIL::S0:
1340 case RTLIL::S1:
1341 compare_mask.bits.push_back(RTLIL::S1);
1342 compare_value.bits.push_back(bit);
1343 break;
1344
1345 case RTLIL::Sx:
1346 case RTLIL::Sz:
1347 case RTLIL::Sa:
1348 compare_mask.bits.push_back(RTLIL::S0);
1349 compare_value.bits.push_back(RTLIL::S0);
1350 break;
1351
1352 default:
1353 log_assert(false);
1354 }
1355 }
1356 f << "and_uu<" << compare.size() << ">(" << signal_temp << ", ";
1357 dump_const(compare_mask);
1358 f << ") == ";
1359 dump_const(compare_value);
1360 } else {
1361 log_assert(false);
1362 }
1363 }
1364 f << ") ";
1365 }
1366 f << "{\n";
1367 inc_indent();
1368 dump_case_rule(case_);
1369 dec_indent();
1370 }
1371 f << indent << "}\n";
1372 }
1373
1374 void dump_process(const RTLIL::Process *proc)
1375 {
1376 dump_attrs(proc);
1377 f << indent << "// process " << proc->name.str() << "\n";
1378 // The case attributes (for root case) are always empty.
1379 log_assert(proc->root_case.attributes.empty());
1380 dump_case_rule(&proc->root_case);
1381 for (auto sync : proc->syncs) {
1382 RTLIL::SigBit sync_bit;
1383 if (!sync->signal.empty()) {
1384 sync_bit = sync->signal[0];
1385 sync_bit = sigmaps[sync_bit.wire->module](sync_bit);
1386 }
1387
1388 pool<std::string> events;
1389 switch (sync->type) {
1390 case RTLIL::STp:
1391 log_assert(sync_bit.wire != nullptr);
1392 events.insert("posedge_" + mangle(sync_bit));
1393 break;
1394 case RTLIL::STn:
1395 log_assert(sync_bit.wire != nullptr);
1396 events.insert("negedge_" + mangle(sync_bit));
1397 break;
1398 case RTLIL::STe:
1399 log_assert(sync_bit.wire != nullptr);
1400 events.insert("posedge_" + mangle(sync_bit));
1401 events.insert("negedge_" + mangle(sync_bit));
1402 break;
1403
1404 case RTLIL::STa:
1405 events.insert("true");
1406 break;
1407
1408 case RTLIL::ST0:
1409 case RTLIL::ST1:
1410 case RTLIL::STg:
1411 case RTLIL::STi:
1412 log_assert(false);
1413 }
1414 if (!events.empty()) {
1415 f << indent << "if (";
1416 bool first = true;
1417 for (auto &event : events) {
1418 if (!first)
1419 f << " || ";
1420 first = false;
1421 f << event;
1422 }
1423 f << ") {\n";
1424 inc_indent();
1425 for (auto action : sync->actions)
1426 dump_assign(action);
1427 dec_indent();
1428 f << indent << "}\n";
1429 }
1430 }
1431 }
1432
1433 void dump_wire(const RTLIL::Wire *wire, bool is_local_context)
1434 {
1435 if (elided_wires.count(wire))
1436 return;
1437
1438 if (localized_wires[wire] && is_local_context) {
1439 dump_attrs(wire);
1440 f << indent << "value<" << wire->width << "> " << mangle(wire) << ";\n";
1441 }
1442 if (!localized_wires[wire] && !is_local_context) {
1443 std::string width;
1444 if (wire->module->has_attribute(ID(cxxrtl_blackbox)) && wire->has_attribute(ID(cxxrtl_width))) {
1445 width = wire->get_string_attribute(ID(cxxrtl_width));
1446 } else {
1447 width = std::to_string(wire->width);
1448 }
1449
1450 dump_attrs(wire);
1451 f << indent << (unbuffered_wires[wire] ? "value" : "wire") << "<" << width << "> " << mangle(wire);
1452 if (wire->has_attribute(ID::init)) {
1453 f << " ";
1454 dump_const_init(wire->attributes.at(ID::init));
1455 }
1456 f << ";\n";
1457 if (edge_wires[wire]) {
1458 if (unbuffered_wires[wire]) {
1459 f << indent << "value<" << width << "> prev_" << mangle(wire);
1460 if (wire->has_attribute(ID::init)) {
1461 f << " ";
1462 dump_const_init(wire->attributes.at(ID::init));
1463 }
1464 f << ";\n";
1465 }
1466 for (auto edge_type : edge_types) {
1467 if (edge_type.first.wire == wire) {
1468 std::string prev, next;
1469 if (unbuffered_wires[wire]) {
1470 prev = "prev_" + mangle(edge_type.first.wire);
1471 next = mangle(edge_type.first.wire);
1472 } else {
1473 prev = mangle(edge_type.first.wire) + ".curr";
1474 next = mangle(edge_type.first.wire) + ".next";
1475 }
1476 prev += ".slice<" + std::to_string(edge_type.first.offset) + ">().val()";
1477 next += ".slice<" + std::to_string(edge_type.first.offset) + ">().val()";
1478 if (edge_type.second != RTLIL::STn) {
1479 f << indent << "bool posedge_" << mangle(edge_type.first) << "() const {\n";
1480 inc_indent();
1481 f << indent << "return !" << prev << " && " << next << ";\n";
1482 dec_indent();
1483 f << indent << "}\n";
1484 }
1485 if (edge_type.second != RTLIL::STp) {
1486 f << indent << "bool negedge_" << mangle(edge_type.first) << "() const {\n";
1487 inc_indent();
1488 f << indent << "return " << prev << " && !" << next << ";\n";
1489 dec_indent();
1490 f << indent << "}\n";
1491 }
1492 }
1493 }
1494 }
1495 }
1496 }
1497
1498 void dump_memory(RTLIL::Module *module, const RTLIL::Memory *memory)
1499 {
1500 vector<const RTLIL::Cell*> init_cells;
1501 for (auto cell : module->cells())
1502 if (cell->type == ID($meminit) && cell->getParam(ID::MEMID).decode_string() == memory->name.str())
1503 init_cells.push_back(cell);
1504
1505 std::sort(init_cells.begin(), init_cells.end(), [](const RTLIL::Cell *a, const RTLIL::Cell *b) {
1506 int a_addr = a->getPort(ID::ADDR).as_int(), b_addr = b->getPort(ID::ADDR).as_int();
1507 int a_prio = a->getParam(ID::PRIORITY).as_int(), b_prio = b->getParam(ID::PRIORITY).as_int();
1508 return a_prio > b_prio || (a_prio == b_prio && a_addr < b_addr);
1509 });
1510
1511 dump_attrs(memory);
1512 f << indent << "memory<" << memory->width << "> " << mangle(memory)
1513 << " { " << memory->size << "u";
1514 if (init_cells.empty()) {
1515 f << " };\n";
1516 } else {
1517 f << ",\n";
1518 inc_indent();
1519 for (auto cell : init_cells) {
1520 dump_attrs(cell);
1521 RTLIL::Const data = cell->getPort(ID::DATA).as_const();
1522 size_t width = cell->getParam(ID::WIDTH).as_int();
1523 size_t words = cell->getParam(ID::WORDS).as_int();
1524 f << indent << "memory<" << memory->width << ">::init<" << words << "> { "
1525 << stringf("%#x", cell->getPort(ID::ADDR).as_int()) << ", {";
1526 inc_indent();
1527 for (size_t n = 0; n < words; n++) {
1528 if (n % 4 == 0)
1529 f << "\n" << indent;
1530 else
1531 f << " ";
1532 dump_const(data, width, n * width, /*fixed_width=*/true);
1533 f << ",";
1534 }
1535 dec_indent();
1536 f << "\n" << indent << "}},\n";
1537 }
1538 dec_indent();
1539 f << indent << "};\n";
1540 }
1541 }
1542
1543 void dump_eval_method(RTLIL::Module *module)
1544 {
1545 inc_indent();
1546 f << indent << "bool converged = " << (eval_converges.at(module) ? "true" : "false") << ";\n";
1547 if (!module->get_bool_attribute(ID(cxxrtl_blackbox))) {
1548 for (auto wire : module->wires()) {
1549 if (edge_wires[wire]) {
1550 for (auto edge_type : edge_types) {
1551 if (edge_type.first.wire == wire) {
1552 if (edge_type.second != RTLIL::STn) {
1553 f << indent << "bool posedge_" << mangle(edge_type.first) << " = ";
1554 f << "this->posedge_" << mangle(edge_type.first) << "();\n";
1555 }
1556 if (edge_type.second != RTLIL::STp) {
1557 f << indent << "bool negedge_" << mangle(edge_type.first) << " = ";
1558 f << "this->negedge_" << mangle(edge_type.first) << "();\n";
1559 }
1560 }
1561 }
1562 }
1563 }
1564 for (auto wire : module->wires())
1565 dump_wire(wire, /*is_local_context=*/true);
1566 for (auto node : schedule[module]) {
1567 switch (node.type) {
1568 case FlowGraph::Node::Type::CONNECT:
1569 dump_connect(node.connect);
1570 break;
1571 case FlowGraph::Node::Type::CELL_SYNC:
1572 dump_cell_sync(node.cell);
1573 break;
1574 case FlowGraph::Node::Type::CELL_EVAL:
1575 dump_cell_eval(node.cell);
1576 break;
1577 case FlowGraph::Node::Type::PROCESS:
1578 dump_process(node.process);
1579 break;
1580 }
1581 }
1582 }
1583 f << indent << "return converged;\n";
1584 dec_indent();
1585 }
1586
1587 void dump_commit_method(RTLIL::Module *module)
1588 {
1589 inc_indent();
1590 f << indent << "bool changed = false;\n";
1591 for (auto wire : module->wires()) {
1592 if (elided_wires.count(wire))
1593 continue;
1594 if (unbuffered_wires[wire]) {
1595 if (edge_wires[wire])
1596 f << indent << "prev_" << mangle(wire) << " = " << mangle(wire) << ";\n";
1597 continue;
1598 }
1599 if (!module->get_bool_attribute(ID(cxxrtl_blackbox)) || wire->port_id != 0)
1600 f << indent << "changed |= " << mangle(wire) << ".commit();\n";
1601 }
1602 if (!module->get_bool_attribute(ID(cxxrtl_blackbox))) {
1603 for (auto memory : module->memories) {
1604 if (!writable_memories[memory.second])
1605 continue;
1606 f << indent << "changed |= " << mangle(memory.second) << ".commit();\n";
1607 }
1608 for (auto cell : module->cells()) {
1609 if (is_internal_cell(cell->type))
1610 continue;
1611 const char *access = is_cxxrtl_blackbox_cell(cell) ? "->" : ".";
1612 f << indent << "changed |= " << mangle(cell) << access << "commit();\n";
1613 }
1614 }
1615 f << indent << "return changed;\n";
1616 dec_indent();
1617 }
1618
1619 void dump_debug_info_method(RTLIL::Module *module)
1620 {
1621 size_t count_public_wires = 0;
1622 size_t count_const_wires = 0;
1623 size_t count_alias_wires = 0;
1624 size_t count_member_wires = 0;
1625 size_t count_skipped_wires = 0;
1626 inc_indent();
1627 f << indent << "assert(path.empty() || path[path.size() - 1] == ' ');\n";
1628 for (auto wire : module->wires()) {
1629 if (wire->name[0] != '\\')
1630 continue;
1631 count_public_wires++;
1632 if (debug_const_wires.count(wire)) {
1633 // Wire tied to a constant
1634 f << indent << "static const value<" << wire->width << "> const_" << mangle(wire) << " = ";
1635 dump_const(debug_const_wires[wire]);
1636 f << ";\n";
1637 f << indent << "items.emplace(path + " << escape_cxx_string(get_hdl_name(wire));
1638 f << ", debug_item(const_" << mangle(wire) << "));\n";
1639 count_const_wires++;
1640 } else if (debug_alias_wires.count(wire)) {
1641 // Alias of a member wire
1642 f << indent << "items.emplace(path + " << escape_cxx_string(get_hdl_name(wire));
1643 f << ", debug_item(debug_alias(), " << mangle(debug_alias_wires[wire]) << "));\n";
1644 count_alias_wires++;
1645 } else if (!localized_wires.count(wire)) {
1646 // Member wire
1647 f << indent << "items.emplace(path + " << escape_cxx_string(get_hdl_name(wire));
1648 f << ", debug_item(" << mangle(wire) << "));\n";
1649 count_member_wires++;
1650 } else {
1651 count_skipped_wires++;
1652 }
1653 }
1654 for (auto &memory_it : module->memories) {
1655 if (memory_it.first[0] != '\\')
1656 continue;
1657 f << indent << "items.emplace(path + " << escape_cxx_string(get_hdl_name(memory_it.second));
1658 f << ", debug_item(" << mangle(memory_it.second) << "));\n";
1659 }
1660 for (auto cell : module->cells()) {
1661 if (is_internal_cell(cell->type))
1662 continue;
1663 const char *access = is_cxxrtl_blackbox_cell(cell) ? "->" : ".";
1664 f << indent << mangle(cell) << access << "debug_info(items, ";
1665 f << "path + " << escape_cxx_string(get_hdl_name(cell) + ' ') << ");\n";
1666 }
1667 dec_indent();
1668
1669 log_debug("Debug information statistics for module `%s':\n", log_id(module));
1670 log_debug(" Public wires: %zu, of which:\n", count_public_wires);
1671 log_debug(" Const wires: %zu\n", count_const_wires);
1672 log_debug(" Alias wires: %zu\n", count_alias_wires);
1673 log_debug(" Member wires: %zu\n", count_member_wires);
1674 log_debug(" Other wires: %zu (no debug information)\n", count_skipped_wires);
1675 }
1676
1677 void dump_metadata_map(const dict<RTLIL::IdString, RTLIL::Const> &metadata_map)
1678 {
1679 if (metadata_map.empty()) {
1680 f << "metadata_map()";
1681 return;
1682 }
1683 f << "metadata_map({\n";
1684 inc_indent();
1685 for (auto metadata_item : metadata_map) {
1686 if (!metadata_item.first.begins_with("\\"))
1687 continue;
1688 f << indent << "{ " << escape_cxx_string(metadata_item.first.str().substr(1)) << ", ";
1689 if (metadata_item.second.flags & RTLIL::CONST_FLAG_REAL) {
1690 f << std::showpoint << std::stod(metadata_item.second.decode_string()) << std::noshowpoint;
1691 } else if (metadata_item.second.flags & RTLIL::CONST_FLAG_STRING) {
1692 f << escape_cxx_string(metadata_item.second.decode_string());
1693 } else {
1694 f << metadata_item.second.as_int(/*is_signed=*/metadata_item.second.flags & RTLIL::CONST_FLAG_SIGNED);
1695 if (!(metadata_item.second.flags & RTLIL::CONST_FLAG_SIGNED))
1696 f << "u";
1697 }
1698 f << " },\n";
1699 }
1700 dec_indent();
1701 f << indent << "})";
1702 }
1703
1704 void dump_module_intf(RTLIL::Module *module)
1705 {
1706 dump_attrs(module);
1707 if (module->get_bool_attribute(ID(cxxrtl_blackbox))) {
1708 if (module->has_attribute(ID(cxxrtl_template)))
1709 f << indent << "template" << template_params(module, /*is_decl=*/true) << "\n";
1710 f << indent << "struct " << mangle(module) << " : public module {\n";
1711 inc_indent();
1712 for (auto wire : module->wires()) {
1713 if (wire->port_id != 0)
1714 dump_wire(wire, /*is_local_context=*/false);
1715 }
1716 f << "\n";
1717 f << indent << "bool eval() override {\n";
1718 dump_eval_method(module);
1719 f << indent << "}\n";
1720 f << "\n";
1721 f << indent << "bool commit() override {\n";
1722 dump_commit_method(module);
1723 f << indent << "}\n";
1724 f << "\n";
1725 if (debug_info) {
1726 f << indent << "void debug_info(debug_items &items, std::string path = \"\") override {\n";
1727 dump_debug_info_method(module);
1728 f << indent << "}\n";
1729 f << "\n";
1730 }
1731 f << indent << "static std::unique_ptr<" << mangle(module);
1732 f << template_params(module, /*is_decl=*/false) << "> ";
1733 f << "create(std::string name, metadata_map parameters, metadata_map attributes);\n";
1734 dec_indent();
1735 f << indent << "}; // struct " << mangle(module) << "\n";
1736 f << "\n";
1737 if (blackbox_specializations.count(module)) {
1738 // If templated black boxes are used, the constructor of any module which includes the black box cell
1739 // (which calls the declared but not defined in the generated code `create` function) may only be used
1740 // if (a) the create function is defined in the same translation unit, or (b) the create function has
1741 // a forward-declared explicit specialization.
1742 //
1743 // Option (b) makes it possible to have the generated code and the black box implementation in different
1744 // translation units, which is convenient. Of course, its downside is that black boxes must predefine
1745 // a specialization for every combination of parameters the generated code may use; but since the main
1746 // purpose of templated black boxes is abstracting over datapath width, it is expected that there would
1747 // be very few such combinations anyway.
1748 for (auto specialization : blackbox_specializations[module]) {
1749 f << indent << "template<>\n";
1750 f << indent << "std::unique_ptr<" << mangle(module) << specialization << "> ";
1751 f << mangle(module) << specialization << "::";
1752 f << "create(std::string name, metadata_map parameters, metadata_map attributes);\n";
1753 f << "\n";
1754 }
1755 }
1756 } else {
1757 f << indent << "struct " << mangle(module) << " : public module {\n";
1758 inc_indent();
1759 for (auto wire : module->wires())
1760 dump_wire(wire, /*is_local_context=*/false);
1761 f << "\n";
1762 bool has_memories = false;
1763 for (auto memory : module->memories) {
1764 dump_memory(module, memory.second);
1765 has_memories = true;
1766 }
1767 if (has_memories)
1768 f << "\n";
1769 bool has_cells = false;
1770 for (auto cell : module->cells()) {
1771 if (is_internal_cell(cell->type))
1772 continue;
1773 dump_attrs(cell);
1774 RTLIL::Module *cell_module = module->design->module(cell->type);
1775 log_assert(cell_module != nullptr);
1776 if (cell_module->get_bool_attribute(ID(cxxrtl_blackbox))) {
1777 f << indent << "std::unique_ptr<" << mangle(cell_module) << template_args(cell) << "> ";
1778 f << mangle(cell) << " = " << mangle(cell_module) << template_args(cell);
1779 f << "::create(" << escape_cxx_string(get_hdl_name(cell)) << ", ";
1780 dump_metadata_map(cell->parameters);
1781 f << ", ";
1782 dump_metadata_map(cell->attributes);
1783 f << ");\n";
1784 } else {
1785 f << indent << mangle(cell_module) << " " << mangle(cell) << ";\n";
1786 }
1787 has_cells = true;
1788 }
1789 if (has_cells)
1790 f << "\n";
1791 f << indent << "bool eval() override;\n";
1792 f << indent << "bool commit() override;\n";
1793 if (debug_info)
1794 f << indent << "void debug_info(debug_items &items, std::string path = \"\") override;\n";
1795 dec_indent();
1796 f << indent << "}; // struct " << mangle(module) << "\n";
1797 f << "\n";
1798 }
1799 }
1800
1801 void dump_module_impl(RTLIL::Module *module)
1802 {
1803 if (module->get_bool_attribute(ID(cxxrtl_blackbox)))
1804 return;
1805 f << indent << "bool " << mangle(module) << "::eval() {\n";
1806 dump_eval_method(module);
1807 f << indent << "}\n";
1808 f << "\n";
1809 f << indent << "bool " << mangle(module) << "::commit() {\n";
1810 dump_commit_method(module);
1811 f << indent << "}\n";
1812 f << "\n";
1813 if (debug_info) {
1814 f << indent << "void " << mangle(module) << "::debug_info(debug_items &items, std::string path) {\n";
1815 dump_debug_info_method(module);
1816 f << indent << "}\n";
1817 f << "\n";
1818 }
1819 }
1820
1821 void dump_design(RTLIL::Design *design)
1822 {
1823 RTLIL::Module *top_module = nullptr;
1824 std::vector<RTLIL::Module*> modules;
1825 TopoSort<RTLIL::Module*> topo_design;
1826 for (auto module : design->modules()) {
1827 if (!design->selected_module(module))
1828 continue;
1829 if (module->get_bool_attribute(ID(cxxrtl_blackbox)))
1830 modules.push_back(module); // cxxrtl blackboxes first
1831 if (module->get_blackbox_attribute() || module->get_bool_attribute(ID(cxxrtl_blackbox)))
1832 continue;
1833 if (module->get_bool_attribute(ID::top))
1834 top_module = module;
1835
1836 topo_design.node(module);
1837 for (auto cell : module->cells()) {
1838 if (is_internal_cell(cell->type) || is_cxxrtl_blackbox_cell(cell))
1839 continue;
1840 RTLIL::Module *cell_module = design->module(cell->type);
1841 log_assert(cell_module != nullptr);
1842 topo_design.edge(cell_module, module);
1843 }
1844 }
1845 log_assert(topo_design.sort());
1846 modules.insert(modules.end(), topo_design.sorted.begin(), topo_design.sorted.end());
1847
1848 if (split_intf) {
1849 // The only thing more depraved than include guards, is mangling filenames to turn them into include guards.
1850 std::string include_guard = design_ns + "_header";
1851 std::transform(include_guard.begin(), include_guard.end(), include_guard.begin(), ::toupper);
1852
1853 f << "#ifndef " << include_guard << "\n";
1854 f << "#define " << include_guard << "\n";
1855 f << "\n";
1856 if (top_module != nullptr && debug_info) {
1857 f << "#include <backends/cxxrtl/cxxrtl_capi.h>\n";
1858 f << "\n";
1859 f << "#ifdef __cplusplus\n";
1860 f << "extern \"C\" {\n";
1861 f << "#endif\n";
1862 f << "\n";
1863 f << "cxxrtl_toplevel " << design_ns << "_create();\n";
1864 f << "\n";
1865 f << "#ifdef __cplusplus\n";
1866 f << "}\n";
1867 f << "#endif\n";
1868 f << "\n";
1869 } else {
1870 f << "// The CXXRTL C API is not available because the design is built without debug information.\n";
1871 f << "\n";
1872 }
1873 f << "#ifdef __cplusplus\n";
1874 f << "\n";
1875 f << "#include <backends/cxxrtl/cxxrtl.h>\n";
1876 f << "\n";
1877 f << "using namespace cxxrtl;\n";
1878 f << "\n";
1879 f << "namespace " << design_ns << " {\n";
1880 f << "\n";
1881 for (auto module : modules)
1882 dump_module_intf(module);
1883 f << "} // namespace " << design_ns << "\n";
1884 f << "\n";
1885 f << "#endif // __cplusplus\n";
1886 f << "\n";
1887 f << "#endif\n";
1888 *intf_f << f.str(); f.str("");
1889 }
1890
1891 if (split_intf)
1892 f << "#include \"" << intf_filename << "\"\n";
1893 else
1894 f << "#include <backends/cxxrtl/cxxrtl.h>\n";
1895 f << "\n";
1896 f << "#if defined(CXXRTL_INCLUDE_CAPI_IMPL) || \\\n";
1897 f << " defined(CXXRTL_INCLUDE_VCD_CAPI_IMPL)\n";
1898 f << "#include <backends/cxxrtl/cxxrtl_capi.cc>\n";
1899 f << "#endif\n";
1900 f << "\n";
1901 f << "#if defined(CXXRTL_INCLUDE_VCD_CAPI_IMPL)\n";
1902 f << "#include <backends/cxxrtl/cxxrtl_vcd_capi.cc>\n";
1903 f << "#endif\n";
1904 f << "\n";
1905 f << "using namespace cxxrtl_yosys;\n";
1906 f << "\n";
1907 f << "namespace " << design_ns << " {\n";
1908 f << "\n";
1909 for (auto module : modules) {
1910 if (!split_intf)
1911 dump_module_intf(module);
1912 dump_module_impl(module);
1913 }
1914 f << "} // namespace " << design_ns << "\n";
1915 f << "\n";
1916 if (top_module != nullptr && debug_info) {
1917 f << "cxxrtl_toplevel " << design_ns << "_create() {\n";
1918 inc_indent();
1919 std::string top_type = design_ns + "::" + mangle(top_module);
1920 f << indent << "return new _cxxrtl_toplevel { ";
1921 f << "std::unique_ptr<" << top_type << ">(new " + top_type + ")";
1922 f << " };\n";
1923 dec_indent();
1924 f << "}\n";
1925 }
1926
1927 *impl_f << f.str(); f.str("");
1928 }
1929
1930 // Edge-type sync rules require us to emit edge detectors, which require coordination between
1931 // eval and commit phases. To do this we need to collect them upfront.
1932 //
1933 // Note that the simulator commit phase operates at wire granularity but edge-type sync rules
1934 // operate at wire bit granularity; it is possible to have code similar to:
1935 // wire [3:0] clocks;
1936 // always @(posedge clocks[0]) ...
1937 // To handle this we track edge sensitivity both for wires and wire bits.
1938 void register_edge_signal(SigMap &sigmap, RTLIL::SigSpec signal, RTLIL::SyncType type)
1939 {
1940 signal = sigmap(signal);
1941 log_assert(signal.is_wire() && signal.is_bit());
1942 log_assert(type == RTLIL::STp || type == RTLIL::STn || type == RTLIL::STe);
1943
1944 RTLIL::SigBit sigbit = signal[0];
1945 if (!edge_types.count(sigbit))
1946 edge_types[sigbit] = type;
1947 else if (edge_types[sigbit] != type)
1948 edge_types[sigbit] = RTLIL::STe;
1949 edge_wires.insert(signal.as_wire());
1950 }
1951
1952 void analyze_design(RTLIL::Design *design)
1953 {
1954 bool has_feedback_arcs = false;
1955 bool has_buffered_comb_wires = false;
1956
1957 for (auto module : design->modules()) {
1958 if (!design->selected_module(module))
1959 continue;
1960
1961 SigMap &sigmap = sigmaps[module];
1962 sigmap.set(module);
1963
1964 if (module->get_bool_attribute(ID(cxxrtl_blackbox))) {
1965 for (auto port : module->ports) {
1966 RTLIL::Wire *wire = module->wire(port);
1967 if (wire->port_input && !wire->port_output)
1968 unbuffered_wires.insert(wire);
1969 if (wire->has_attribute(ID(cxxrtl_edge))) {
1970 RTLIL::Const edge_attr = wire->attributes[ID(cxxrtl_edge)];
1971 if (!(edge_attr.flags & RTLIL::CONST_FLAG_STRING) || (int)edge_attr.decode_string().size() != GetSize(wire))
1972 log_cmd_error("Attribute `cxxrtl_edge' of port `%s.%s' is not a string with one character per bit.\n",
1973 log_id(module), log_signal(wire));
1974
1975 std::string edges = wire->get_string_attribute(ID(cxxrtl_edge));
1976 for (int i = 0; i < GetSize(wire); i++) {
1977 RTLIL::SigSpec wire_sig = wire;
1978 switch (edges[i]) {
1979 case '-': break;
1980 case 'p': register_edge_signal(sigmap, wire_sig[i], RTLIL::STp); break;
1981 case 'n': register_edge_signal(sigmap, wire_sig[i], RTLIL::STn); break;
1982 case 'a': register_edge_signal(sigmap, wire_sig[i], RTLIL::STe); break;
1983 default:
1984 log_cmd_error("Attribute `cxxrtl_edge' of port `%s.%s' contains specifiers "
1985 "other than '-', 'p', 'n', or 'a'.\n",
1986 log_id(module), log_signal(wire));
1987 }
1988 }
1989 }
1990 }
1991
1992 // Black boxes converge by default, since their implementations are quite unlikely to require
1993 // internal propagation of comb signals.
1994 eval_converges[module] = true;
1995 continue;
1996 }
1997
1998 FlowGraph flow;
1999
2000 for (auto conn : module->connections())
2001 flow.add_node(conn);
2002
2003 dict<const RTLIL::Cell*, FlowGraph::Node*> memrw_cell_nodes;
2004 dict<std::pair<RTLIL::SigBit, const RTLIL::Memory*>,
2005 pool<const RTLIL::Cell*>> memwr_per_domain;
2006 for (auto cell : module->cells()) {
2007 if (!cell->known())
2008 log_cmd_error("Unknown cell `%s'.\n", log_id(cell->type));
2009
2010 RTLIL::Module *cell_module = design->module(cell->type);
2011 if (cell_module &&
2012 cell_module->get_blackbox_attribute() &&
2013 !cell_module->get_bool_attribute(ID(cxxrtl_blackbox)))
2014 log_cmd_error("External blackbox cell `%s' is not marked as a CXXRTL blackbox.\n", log_id(cell->type));
2015
2016 if (cell_module &&
2017 cell_module->get_bool_attribute(ID(cxxrtl_blackbox)) &&
2018 cell_module->get_bool_attribute(ID(cxxrtl_template)))
2019 blackbox_specializations[cell_module].insert(template_args(cell));
2020
2021 FlowGraph::Node *node = flow.add_node(cell);
2022
2023 // Various DFF cells are treated like posedge/negedge processes, see above for details.
2024 if (cell->type.in(ID($dff), ID($dffe), ID($adff), ID($dffsr))) {
2025 if (cell->getPort(ID::CLK).is_wire())
2026 register_edge_signal(sigmap, cell->getPort(ID::CLK),
2027 cell->parameters[ID::CLK_POLARITY].as_bool() ? RTLIL::STp : RTLIL::STn);
2028 }
2029 // Similar for memory port cells.
2030 if (cell->type.in(ID($memrd), ID($memwr))) {
2031 if (cell->getParam(ID::CLK_ENABLE).as_bool()) {
2032 if (cell->getPort(ID::CLK).is_wire())
2033 register_edge_signal(sigmap, cell->getPort(ID::CLK),
2034 cell->parameters[ID::CLK_POLARITY].as_bool() ? RTLIL::STp : RTLIL::STn);
2035 }
2036 memrw_cell_nodes[cell] = node;
2037 }
2038 // Optimize access to read-only memories.
2039 if (cell->type == ID($memwr))
2040 writable_memories.insert(module->memories[cell->getParam(ID::MEMID).decode_string()]);
2041 // Collect groups of memory write ports in the same domain.
2042 if (cell->type == ID($memwr) && cell->getParam(ID::CLK_ENABLE).as_bool() && cell->getPort(ID::CLK).is_wire()) {
2043 RTLIL::SigBit clk_bit = sigmap(cell->getPort(ID::CLK))[0];
2044 const RTLIL::Memory *memory = module->memories[cell->getParam(ID::MEMID).decode_string()];
2045 memwr_per_domain[{clk_bit, memory}].insert(cell);
2046 }
2047 // Handling of packed memories is delegated to the `memory_unpack` pass, so we can rely on the presence
2048 // of RTLIL memory objects and $memrd/$memwr/$meminit cells.
2049 if (cell->type.in(ID($mem)))
2050 log_assert(false);
2051 }
2052 for (auto cell : module->cells()) {
2053 // Collect groups of memory write ports read by every transparent read port.
2054 if (cell->type == ID($memrd) && cell->getParam(ID::CLK_ENABLE).as_bool() && cell->getPort(ID::CLK).is_wire() &&
2055 cell->getParam(ID::TRANSPARENT).as_bool()) {
2056 RTLIL::SigBit clk_bit = sigmap(cell->getPort(ID::CLK))[0];
2057 const RTLIL::Memory *memory = module->memories[cell->getParam(ID::MEMID).decode_string()];
2058 for (auto memwr_cell : memwr_per_domain[{clk_bit, memory}]) {
2059 transparent_for[cell].insert(memwr_cell);
2060 // Our implementation of transparent $memrd cells reads \EN, \ADDR and \DATA from every $memwr cell
2061 // in the same domain, which isn't directly visible in the netlist. Add these uses explicitly.
2062 flow.add_uses(memrw_cell_nodes[cell], memwr_cell->getPort(ID::EN));
2063 flow.add_uses(memrw_cell_nodes[cell], memwr_cell->getPort(ID::ADDR));
2064 flow.add_uses(memrw_cell_nodes[cell], memwr_cell->getPort(ID::DATA));
2065 }
2066 }
2067 }
2068
2069 for (auto proc : module->processes) {
2070 flow.add_node(proc.second);
2071
2072 for (auto sync : proc.second->syncs)
2073 switch (sync->type) {
2074 // Edge-type sync rules require pre-registration.
2075 case RTLIL::STp:
2076 case RTLIL::STn:
2077 case RTLIL::STe:
2078 register_edge_signal(sigmap, sync->signal, sync->type);
2079 break;
2080
2081 // Level-type sync rules require no special handling.
2082 case RTLIL::ST0:
2083 case RTLIL::ST1:
2084 case RTLIL::STa:
2085 break;
2086
2087 case RTLIL::STg:
2088 log_cmd_error("Global clock is not supported.\n");
2089
2090 // Handling of init-type sync rules is delegated to the `proc_init` pass, so we can use the wire
2091 // attribute regardless of input.
2092 case RTLIL::STi:
2093 log_assert(false);
2094 }
2095 }
2096
2097 for (auto wire : module->wires()) {
2098 if (!flow.is_elidable(wire)) continue;
2099 if (wire->port_id != 0) continue;
2100 if (wire->get_bool_attribute(ID::keep)) continue;
2101 if (wire->name.begins_with("$") && !elide_internal) continue;
2102 if (wire->name.begins_with("\\") && !elide_public) continue;
2103 if (edge_wires[wire]) continue;
2104 log_assert(flow.wire_comb_defs[wire].size() == 1);
2105 elided_wires[wire] = **flow.wire_comb_defs[wire].begin();
2106 }
2107
2108 dict<FlowGraph::Node*, pool<const RTLIL::Wire*>, hash_ptr_ops> node_defs;
2109 for (auto wire_comb_def : flow.wire_comb_defs)
2110 for (auto node : wire_comb_def.second)
2111 node_defs[node].insert(wire_comb_def.first);
2112
2113 Scheduler<FlowGraph::Node> scheduler;
2114 dict<FlowGraph::Node*, Scheduler<FlowGraph::Node>::Vertex*, hash_ptr_ops> node_map;
2115 for (auto node : flow.nodes)
2116 node_map[node] = scheduler.add(node);
2117 for (auto node_def : node_defs) {
2118 auto vertex = node_map[node_def.first];
2119 for (auto wire : node_def.second)
2120 for (auto succ_node : flow.wire_uses[wire]) {
2121 auto succ_vertex = node_map[succ_node];
2122 vertex->succs.insert(succ_vertex);
2123 succ_vertex->preds.insert(vertex);
2124 }
2125 }
2126
2127 auto eval_order = scheduler.schedule();
2128 pool<FlowGraph::Node*, hash_ptr_ops> evaluated;
2129 pool<const RTLIL::Wire*> feedback_wires;
2130 for (auto vertex : eval_order) {
2131 auto node = vertex->data;
2132 schedule[module].push_back(*node);
2133 // Any wire that is an output of node vo and input of node vi where vo is scheduled later than vi
2134 // is a feedback wire. Feedback wires indicate apparent logic loops in the design, which may be
2135 // caused by a true logic loop, but usually are a benign result of dependency tracking that works
2136 // on wire, not bit, level. Nevertheless, feedback wires cannot be localized.
2137 evaluated.insert(node);
2138 for (auto wire : node_defs[node])
2139 for (auto succ_node : flow.wire_uses[wire])
2140 if (evaluated[succ_node]) {
2141 feedback_wires.insert(wire);
2142 // Feedback wires may never be elided because feedback requires state, but the point of elision
2143 // (and localization) is to eliminate state.
2144 elided_wires.erase(wire);
2145 }
2146 }
2147
2148 if (!feedback_wires.empty()) {
2149 has_feedback_arcs = true;
2150 log("Module `%s' contains feedback arcs through wires:\n", log_id(module));
2151 for (auto wire : feedback_wires)
2152 log(" %s\n", log_id(wire));
2153 }
2154
2155 for (auto wire : module->wires()) {
2156 if (feedback_wires[wire]) continue;
2157 if (wire->port_output) continue;
2158 if (wire->name.begins_with("$") && !unbuffer_internal) continue;
2159 if (wire->name.begins_with("\\") && !unbuffer_public) continue;
2160 if (flow.wire_sync_defs.count(wire) > 0) continue;
2161 unbuffered_wires.insert(wire);
2162 if (edge_wires[wire]) continue;
2163 if (wire->get_bool_attribute(ID::keep)) continue;
2164 if (wire->port_input || wire->port_output) continue;
2165 if (wire->name.begins_with("$") && !localize_internal) continue;
2166 if (wire->name.begins_with("\\") && !localize_public) continue;
2167 localized_wires.insert(wire);
2168 }
2169
2170 // For maximum performance, the state of the simulation (which is the same as the set of its double buffered
2171 // wires, since using a singly buffered wire for any kind of state introduces a race condition) should contain
2172 // no wires attached to combinatorial outputs. Feedback wires, by definition, make that impossible. However,
2173 // it is possible that a design with no feedback arcs would end up with doubly buffered wires in such cases
2174 // as a wire with multiple drivers where one of them is combinatorial and the other is synchronous. Such designs
2175 // also require more than one delta cycle to converge.
2176 pool<const RTLIL::Wire*> buffered_comb_wires;
2177 for (auto wire : module->wires()) {
2178 if (flow.wire_comb_defs[wire].size() > 0 && !unbuffered_wires[wire] && !feedback_wires[wire])
2179 buffered_comb_wires.insert(wire);
2180 }
2181 if (!buffered_comb_wires.empty()) {
2182 has_buffered_comb_wires = true;
2183 log("Module `%s' contains buffered combinatorial wires:\n", log_id(module));
2184 for (auto wire : buffered_comb_wires)
2185 log(" %s\n", log_id(wire));
2186 }
2187
2188 eval_converges[module] = feedback_wires.empty() && buffered_comb_wires.empty();
2189
2190 if (debug_info) {
2191 // Find wires that alias other wires or are tied to a constant; debug information can be enriched with these
2192 // at essentially zero additional cost.
2193 //
2194 // Note that the information collected here can't be used for optimizing the netlist: debug information queries
2195 // are pure and run on a design in a stable state, which allows assumptions that do not otherwise hold.
2196 for (auto wire : module->wires()) {
2197 if (wire->name[0] != '\\')
2198 continue;
2199 if (!unbuffered_wires[wire])
2200 continue;
2201 const RTLIL::Wire *wire_it = wire;
2202 while (1) {
2203 if (!(flow.wire_def_elidable.count(wire_it) && flow.wire_def_elidable[wire_it]))
2204 break; // not an alias: complex def
2205 log_assert(flow.wire_comb_defs[wire_it].size() == 1);
2206 FlowGraph::Node *node = *flow.wire_comb_defs[wire_it].begin();
2207 if (node->type != FlowGraph::Node::Type::CONNECT)
2208 break; // not an alias: def by cell
2209 RTLIL::SigSpec rhs_sig = node->connect.second;
2210 if (rhs_sig.is_wire()) {
2211 RTLIL::Wire *rhs_wire = rhs_sig.as_wire();
2212 if (unbuffered_wires[rhs_wire]) {
2213 wire_it = rhs_wire; // maybe an alias
2214 } else {
2215 debug_alias_wires[wire] = rhs_wire; // is an alias
2216 break;
2217 }
2218 } else if (rhs_sig.is_fully_const()) {
2219 debug_const_wires[wire] = rhs_sig.as_const(); // is a const
2220 break;
2221 } else {
2222 break; // not an alias: complex rhs
2223 }
2224 }
2225 }
2226 }
2227 }
2228 if (has_feedback_arcs || has_buffered_comb_wires) {
2229 // Although both non-feedback buffered combinatorial wires and apparent feedback wires may be eliminated
2230 // by optimizing the design, if after `proc; flatten` there are any feedback wires remaining, it is very
2231 // likely that these feedback wires are indicative of a true logic loop, so they get emphasized in the message.
2232 const char *why_pessimistic = nullptr;
2233 if (has_feedback_arcs)
2234 why_pessimistic = "feedback wires";
2235 else if (has_buffered_comb_wires)
2236 why_pessimistic = "buffered combinatorial wires";
2237 log_warning("Design contains %s, which require delta cycles during evaluation.\n", why_pessimistic);
2238 if (!run_flatten)
2239 log("Flattening may eliminate %s from the design.\n", why_pessimistic);
2240 if (!run_proc)
2241 log("Converting processes to netlists may eliminate %s from the design.\n", why_pessimistic);
2242 }
2243 }
2244
2245 void check_design(RTLIL::Design *design, bool &has_sync_init, bool &has_packed_mem)
2246 {
2247 has_sync_init = has_packed_mem = false;
2248
2249 for (auto module : design->modules()) {
2250 if (module->get_blackbox_attribute() && !module->has_attribute(ID(cxxrtl_blackbox)))
2251 continue;
2252
2253 if (!design->selected_whole_module(module))
2254 if (design->selected_module(module))
2255 log_cmd_error("Can't handle partially selected module `%s'!\n", id2cstr(module->name));
2256 if (!design->selected_module(module))
2257 continue;
2258
2259 for (auto proc : module->processes)
2260 for (auto sync : proc.second->syncs)
2261 if (sync->type == RTLIL::STi)
2262 has_sync_init = true;
2263
2264 for (auto cell : module->cells())
2265 if (cell->type == ID($mem))
2266 has_packed_mem = true;
2267 }
2268 }
2269
2270 void prepare_design(RTLIL::Design *design)
2271 {
2272 bool did_anything = false;
2273 bool has_sync_init, has_packed_mem;
2274 log_push();
2275 check_design(design, has_sync_init, has_packed_mem);
2276 if (run_flatten) {
2277 Pass::call(design, "flatten");
2278 did_anything = true;
2279 }
2280 if (run_proc) {
2281 Pass::call(design, "proc");
2282 did_anything = true;
2283 } else if (has_sync_init) {
2284 // We're only interested in proc_init, but it depends on proc_prune and proc_clean, so call those
2285 // in case they weren't already. (This allows `yosys foo.v -o foo.cc` to work.)
2286 Pass::call(design, "proc_prune");
2287 Pass::call(design, "proc_clean");
2288 Pass::call(design, "proc_init");
2289 did_anything = true;
2290 }
2291 if (has_packed_mem) {
2292 Pass::call(design, "memory_unpack");
2293 did_anything = true;
2294 }
2295 // Recheck the design if it was modified.
2296 if (has_sync_init || has_packed_mem)
2297 check_design(design, has_sync_init, has_packed_mem);
2298 log_assert(!(has_sync_init || has_packed_mem));
2299 log_pop();
2300 if (did_anything)
2301 log_spacer();
2302 analyze_design(design);
2303 }
2304 };
2305
2306 struct CxxrtlBackend : public Backend {
2307 static const int DEFAULT_OPT_LEVEL = 6;
2308 static const int OPT_LEVEL_DEBUG = 4;
2309 static const int DEFAULT_DEBUG_LEVEL = 1;
2310
2311 CxxrtlBackend() : Backend("cxxrtl", "convert design to C++ RTL simulation") { }
2312 void help() YS_OVERRIDE
2313 {
2314 // |---v---|---v---|---v---|---v---|---v---|---v---|---v---|---v---|---v---|---v---|
2315 log("\n");
2316 log(" write_cxxrtl [options] [filename]\n");
2317 log("\n");
2318 log("Write C++ code that simulates the design. The generated code requires a driver\n");
2319 log("that instantiates the design, toggles its clock, and interacts with its ports.\n");
2320 log("\n");
2321 log("The following driver may be used as an example for a design with a single clock\n");
2322 log("driving rising edge triggered flip-flops:\n");
2323 log("\n");
2324 log(" #include \"top.cc\"\n");
2325 log("\n");
2326 log(" int main() {\n");
2327 log(" cxxrtl_design::p_top top;\n");
2328 log(" top.step();\n");
2329 log(" while (1) {\n");
2330 log(" /* user logic */\n");
2331 log(" top.p_clk = value<1> {0u};\n");
2332 log(" top.step();\n");
2333 log(" top.p_clk = value<1> {1u};\n");
2334 log(" top.step();\n");
2335 log(" }\n");
2336 log(" }\n");
2337 log("\n");
2338 log("Note that CXXRTL simulations, just like the hardware they are simulating, are\n");
2339 log("subject to race conditions. If, in the example above, the user logic would run\n");
2340 log("simultaneously with the rising edge of the clock, the design would malfunction.\n");
2341 log("\n");
2342 log("This backend supports replacing parts of the design with black boxes implemented\n");
2343 log("in C++. If a module marked as a CXXRTL black box, its implementation is ignored,\n");
2344 log("and the generated code consists only of an interface and a factory function.\n");
2345 log("The driver must implement the factory function that creates an implementation of\n");
2346 log("the black box, taking into account the parameters it is instantiated with.\n");
2347 log("\n");
2348 log("For example, the following Verilog code defines a CXXRTL black box interface for\n");
2349 log("a synchronous debug sink:\n");
2350 log("\n");
2351 log(" (* cxxrtl_blackbox *)\n");
2352 log(" module debug(...);\n");
2353 log(" (* cxxrtl_edge = \"p\" *) input clk;\n");
2354 log(" input en;\n");
2355 log(" input [7:0] i_data;\n");
2356 log(" (* cxxrtl_sync *) output [7:0] o_data;\n");
2357 log(" endmodule\n");
2358 log("\n");
2359 log("For this HDL interface, this backend will generate the following C++ interface:\n");
2360 log("\n");
2361 log(" struct bb_p_debug : public module {\n");
2362 log(" value<1> p_clk;\n");
2363 log(" bool posedge_p_clk() const { /* ... */ }\n");
2364 log(" value<1> p_en;\n");
2365 log(" value<8> p_i_data;\n");
2366 log(" wire<8> p_o_data;\n");
2367 log("\n");
2368 log(" bool eval() override;\n");
2369 log(" bool commit() override;\n");
2370 log("\n");
2371 log(" static std::unique_ptr<bb_p_debug>\n");
2372 log(" create(std::string name, metadata_map parameters, metadata_map attributes);\n");
2373 log(" };\n");
2374 log("\n");
2375 log("The `create' function must be implemented by the driver. For example, it could\n");
2376 log("always provide an implementation logging the values to standard error stream:\n");
2377 log("\n");
2378 log(" namespace cxxrtl_design {\n");
2379 log("\n");
2380 log(" struct stderr_debug : public bb_p_debug {\n");
2381 log(" bool eval() override {\n");
2382 log(" if (posedge_p_clk() && p_en)\n");
2383 log(" fprintf(stderr, \"debug: %%02x\\n\", p_i_data.data[0]);\n");
2384 log(" p_o_data.next = p_i_data;\n");
2385 log(" return bb_p_debug::eval();\n");
2386 log(" }\n");
2387 log(" };\n");
2388 log("\n");
2389 log(" std::unique_ptr<bb_p_debug>\n");
2390 log(" bb_p_debug::create(std::string name, cxxrtl::metadata_map parameters,\n");
2391 log(" cxxrtl::metadata_map attributes) {\n");
2392 log(" return std::make_unique<stderr_debug>();\n");
2393 log(" }\n");
2394 log("\n");
2395 log(" }\n");
2396 log("\n");
2397 log("For complex applications of black boxes, it is possible to parameterize their\n");
2398 log("port widths. For example, the following Verilog code defines a CXXRTL black box\n");
2399 log("interface for a configurable width debug sink:\n");
2400 log("\n");
2401 log(" (* cxxrtl_blackbox, cxxrtl_template = \"WIDTH\" *)\n");
2402 log(" module debug(...);\n");
2403 log(" parameter WIDTH = 8;\n");
2404 log(" (* cxxrtl_edge = \"p\" *) input clk;\n");
2405 log(" input en;\n");
2406 log(" (* cxxrtl_width = \"WIDTH\" *) input [WIDTH - 1:0] i_data;\n");
2407 log(" (* cxxrtl_width = \"WIDTH\" *) output [WIDTH - 1:0] o_data;\n");
2408 log(" endmodule\n");
2409 log("\n");
2410 log("For this parametric HDL interface, this backend will generate the following C++\n");
2411 log("interface (only the differences are shown):\n");
2412 log("\n");
2413 log(" template<size_t WIDTH>\n");
2414 log(" struct bb_p_debug : public module {\n");
2415 log(" // ...\n");
2416 log(" value<WIDTH> p_i_data;\n");
2417 log(" wire<WIDTH> p_o_data;\n");
2418 log(" // ...\n");
2419 log(" static std::unique_ptr<bb_p_debug<WIDTH>>\n");
2420 log(" create(std::string name, metadata_map parameters, metadata_map attributes);\n");
2421 log(" };\n");
2422 log("\n");
2423 log("The `create' function must be implemented by the driver, specialized for every\n");
2424 log("possible combination of template parameters. (Specialization is necessary to\n");
2425 log("enable separate compilation of generated code and black box implementations.)\n");
2426 log("\n");
2427 log(" template<size_t SIZE>\n");
2428 log(" struct stderr_debug : public bb_p_debug<SIZE> {\n");
2429 log(" // ...\n");
2430 log(" };\n");
2431 log("\n");
2432 log(" template<>\n");
2433 log(" std::unique_ptr<bb_p_debug<8>>\n");
2434 log(" bb_p_debug<8>::create(std::string name, cxxrtl::metadata_map parameters,\n");
2435 log(" cxxrtl::metadata_map attributes) {\n");
2436 log(" return std::make_unique<stderr_debug<8>>();\n");
2437 log(" }\n");
2438 log("\n");
2439 log("The following attributes are recognized by this backend:\n");
2440 log("\n");
2441 log(" cxxrtl_blackbox\n");
2442 log(" only valid on modules. if specified, the module contents are ignored,\n");
2443 log(" and the generated code includes only the module interface and a factory\n");
2444 log(" function, which will be called to instantiate the module.\n");
2445 log("\n");
2446 log(" cxxrtl_edge\n");
2447 log(" only valid on inputs of black boxes. must be one of \"p\", \"n\", \"a\".\n");
2448 log(" if specified on signal `clk`, the generated code includes edge detectors\n");
2449 log(" `posedge_p_clk()` (if \"p\"), `negedge_p_clk()` (if \"n\"), or both (if\n");
2450 log(" \"a\"), simplifying implementation of clocked black boxes.\n");
2451 log("\n");
2452 log(" cxxrtl_template\n");
2453 log(" only valid on black boxes. must contain a space separated sequence of\n");
2454 log(" identifiers that have a corresponding black box parameters. for each\n");
2455 log(" of them, the generated code includes a `size_t` template parameter.\n");
2456 log("\n");
2457 log(" cxxrtl_width\n");
2458 log(" only valid on ports of black boxes. must be a constant expression, which\n");
2459 log(" is directly inserted into generated code.\n");
2460 log("\n");
2461 log(" cxxrtl_comb, cxxrtl_sync\n");
2462 log(" only valid on outputs of black boxes. if specified, indicates that every\n");
2463 log(" bit of the output port is driven, correspondingly, by combinatorial or\n");
2464 log(" synchronous logic. this knowledge is used for scheduling optimizations.\n");
2465 log(" if neither is specified, the output will be pessimistically treated as\n");
2466 log(" driven by both combinatorial and synchronous logic.\n");
2467 log("\n");
2468 log("The following options are supported by this backend:\n");
2469 log("\n");
2470 log(" -header\n");
2471 log(" generate separate interface (.h) and implementation (.cc) files.\n");
2472 log(" if specified, the backend must be called with a filename, and filename\n");
2473 log(" of the interface is derived from filename of the implementation.\n");
2474 log(" otherwise, interface and implementation are generated together.\n");
2475 log("\n");
2476 log(" -namespace <ns-name>\n");
2477 log(" place the generated code into namespace <ns-name>. if not specified,\n");
2478 log(" \"cxxrtl_design\" is used.\n");
2479 log("\n");
2480 log(" -noflatten\n");
2481 log(" don't flatten the design. fully flattened designs can evaluate within\n");
2482 log(" one delta cycle if they have no combinatorial feedback.\n");
2483 log(" note that the debug interface and waveform dumps use full hierarchical\n");
2484 log(" names for all wires even in flattened designs.\n");
2485 log("\n");
2486 log(" -noproc\n");
2487 log(" don't convert processes to netlists. in most designs, converting\n");
2488 log(" processes significantly improves evaluation performance at the cost of\n");
2489 log(" slight increase in compilation time.\n");
2490 log("\n");
2491 log(" -O <level>\n");
2492 log(" set the optimization level. the default is -O%d. higher optimization\n", DEFAULT_OPT_LEVEL);
2493 log(" levels dramatically decrease compile and run time, and highest level\n");
2494 log(" possible for a design should be used.\n");
2495 log("\n");
2496 log(" -O0\n");
2497 log(" no optimization.\n");
2498 log("\n");
2499 log(" -O1\n");
2500 log(" localize internal wires if possible.\n");
2501 log("\n");
2502 log(" -O2\n");
2503 log(" like -O1, and unbuffer internal wires if possible.\n");
2504 log("\n");
2505 log(" -O3\n");
2506 log(" like -O2, and elide internal wires if possible.\n");
2507 log("\n");
2508 log(" -O4\n");
2509 log(" like -O3, and unbuffer public wires not marked (*keep*) if possible.\n");
2510 log("\n");
2511 log(" -O5\n");
2512 log(" like -O4, and localize public wires not marked (*keep*) if possible.\n");
2513 log("\n");
2514 log(" -O6\n");
2515 log(" like -O5, and elide public wires not marked (*keep*) if possible.\n");
2516 log("\n");
2517 log(" -Og\n");
2518 log(" highest optimization level that provides debug information for all\n");
2519 log(" public wires. currently, alias for -O%d.\n", OPT_LEVEL_DEBUG);
2520 log("\n");
2521 log(" -g <level>\n");
2522 log(" set the debug level. the default is -g%d. higher debug levels provide\n", DEFAULT_DEBUG_LEVEL);
2523 log(" more visibility and generate more code, but do not pessimize evaluation.\n");
2524 log("\n");
2525 log(" -g0\n");
2526 log(" no debug information.\n");
2527 log("\n");
2528 log(" -g1\n");
2529 log(" debug information for non-optimized public wires. this also makes it\n");
2530 log(" possible to use the C API.\n");
2531 log("\n");
2532 }
2533
2534 void execute(std::ostream *&f, std::string filename, std::vector<std::string> args, RTLIL::Design *design) YS_OVERRIDE
2535 {
2536 bool noflatten = false;
2537 bool noproc = false;
2538 int opt_level = DEFAULT_OPT_LEVEL;
2539 int debug_level = DEFAULT_DEBUG_LEVEL;
2540 CxxrtlWorker worker;
2541
2542 log_header(design, "Executing CXXRTL backend.\n");
2543
2544 size_t argidx;
2545 for (argidx = 1; argidx < args.size(); argidx++)
2546 {
2547 if (args[argidx] == "-noflatten") {
2548 noflatten = true;
2549 continue;
2550 }
2551 if (args[argidx] == "-noproc") {
2552 noproc = true;
2553 continue;
2554 }
2555 if (args[argidx] == "-Og") {
2556 opt_level = OPT_LEVEL_DEBUG;
2557 continue;
2558 }
2559 if (args[argidx] == "-O" && argidx+1 < args.size() && args[argidx+1] == "g") {
2560 argidx++;
2561 opt_level = OPT_LEVEL_DEBUG;
2562 continue;
2563 }
2564 if (args[argidx] == "-O" && argidx+1 < args.size()) {
2565 opt_level = std::stoi(args[++argidx]);
2566 continue;
2567 }
2568 if (args[argidx].substr(0, 2) == "-O" && args[argidx].size() == 3 && isdigit(args[argidx][2])) {
2569 opt_level = std::stoi(args[argidx].substr(2));
2570 continue;
2571 }
2572 if (args[argidx] == "-g" && argidx+1 < args.size()) {
2573 debug_level = std::stoi(args[++argidx]);
2574 continue;
2575 }
2576 if (args[argidx].substr(0, 2) == "-g" && args[argidx].size() == 3 && isdigit(args[argidx][2])) {
2577 debug_level = std::stoi(args[argidx].substr(2));
2578 continue;
2579 }
2580 if (args[argidx] == "-header") {
2581 worker.split_intf = true;
2582 continue;
2583 }
2584 if (args[argidx] == "-namespace" && argidx+1 < args.size()) {
2585 worker.design_ns = args[++argidx];
2586 continue;
2587 }
2588 break;
2589 }
2590 extra_args(f, filename, args, argidx);
2591
2592 worker.run_flatten = !noflatten;
2593 worker.run_proc = !noproc;
2594 switch (opt_level) {
2595 // the highest level here must match DEFAULT_OPT_LEVEL
2596 case 6:
2597 worker.elide_public = true;
2598 YS_FALLTHROUGH
2599 case 5:
2600 worker.localize_public = true;
2601 YS_FALLTHROUGH
2602 case 4:
2603 worker.unbuffer_public = true;
2604 YS_FALLTHROUGH
2605 case 3:
2606 worker.elide_internal = true;
2607 YS_FALLTHROUGH
2608 case 2:
2609 worker.localize_internal = true;
2610 YS_FALLTHROUGH
2611 case 1:
2612 worker.unbuffer_internal = true;
2613 YS_FALLTHROUGH
2614 case 0:
2615 break;
2616 default:
2617 log_cmd_error("Invalid optimization level %d.\n", opt_level);
2618 }
2619 switch (debug_level) {
2620 // the highest level here must match DEFAULT_DEBUG_LEVEL
2621 case 1:
2622 worker.debug_info = true;
2623 YS_FALLTHROUGH
2624 case 0:
2625 break;
2626 default:
2627 log_cmd_error("Invalid debug information level %d.\n", debug_level);
2628 }
2629
2630 std::ofstream intf_f;
2631 if (worker.split_intf) {
2632 if (filename == "<stdout>")
2633 log_cmd_error("Option -header must be used with a filename.\n");
2634
2635 worker.intf_filename = filename.substr(0, filename.rfind('.')) + ".h";
2636 intf_f.open(worker.intf_filename, std::ofstream::trunc);
2637 if (intf_f.fail())
2638 log_cmd_error("Can't open file `%s' for writing: %s\n",
2639 worker.intf_filename.c_str(), strerror(errno));
2640
2641 worker.intf_f = &intf_f;
2642 }
2643 worker.impl_f = f;
2644
2645 worker.prepare_design(design);
2646 worker.dump_design(design);
2647 }
2648 } CxxrtlBackend;
2649
2650 PRIVATE_NAMESPACE_END