Merge pull request #1124 from mmicko/json_ports
[yosys.git] / backends / firrtl / .gitignore
1 test.fir
2 test_out.v