Merge remote-tracking branch 'upstream/master'
[yosys.git] / backends / firrtl / firrtl.cc
1 /*
2 * yosys -- Yosys Open SYnthesis Suite
3 *
4 * Copyright (C) 2012 Clifford Wolf <clifford@clifford.at>
5 *
6 * Permission to use, copy, modify, and/or distribute this software for any
7 * purpose with or without fee is hereby granted, provided that the above
8 * copyright notice and this permission notice appear in all copies.
9 *
10 * THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES
11 * WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF
12 * MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR
13 * ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES
14 * WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN
15 * ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF
16 * OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE.
17 *
18 */
19
20 #include "kernel/rtlil.h"
21 #include "kernel/register.h"
22 #include "kernel/sigtools.h"
23 #include "kernel/celltypes.h"
24 #include "kernel/cellaigs.h"
25 #include "kernel/log.h"
26 #include <algorithm>
27 #include <string>
28 #include <regex>
29 #include <vector>
30 #include <cmath>
31
32 USING_YOSYS_NAMESPACE
33 PRIVATE_NAMESPACE_BEGIN
34
35 pool<string> used_names;
36 dict<IdString, string> namecache;
37 int autoid_counter;
38
39 typedef unsigned FDirection;
40 static const FDirection FD_NODIRECTION = 0x0;
41 static const FDirection FD_IN = 0x1;
42 static const FDirection FD_OUT = 0x2;
43 static const FDirection FD_INOUT = 0x3;
44 static const int FIRRTL_MAX_DSH_WIDTH_ERROR = 20; // For historic reasons, this is actually one greater than the maximum allowed shift width
45
46 // Get a port direction with respect to a specific module.
47 FDirection getPortFDirection(IdString id, Module *module)
48 {
49 Wire *wire = module->wires_.at(id);
50 FDirection direction = FD_NODIRECTION;
51 if (wire && wire->port_id)
52 {
53 if (wire->port_input)
54 direction |= FD_IN;
55 if (wire->port_output)
56 direction |= FD_OUT;
57 }
58 return direction;
59 }
60
61 string next_id()
62 {
63 string new_id;
64
65 while (1) {
66 new_id = stringf("_%d", autoid_counter++);
67 if (used_names.count(new_id) == 0) break;
68 }
69
70 used_names.insert(new_id);
71 return new_id;
72 }
73
74 const char *make_id(IdString id)
75 {
76 if (namecache.count(id) != 0)
77 return namecache.at(id).c_str();
78
79 string new_id = log_id(id);
80
81 for (int i = 0; i < GetSize(new_id); i++)
82 {
83 char &ch = new_id[i];
84 if ('a' <= ch && ch <= 'z') continue;
85 if ('A' <= ch && ch <= 'Z') continue;
86 if ('0' <= ch && ch <= '9' && i != 0) continue;
87 if ('_' == ch) continue;
88 ch = '_';
89 }
90
91 while (used_names.count(new_id) != 0)
92 new_id += '_';
93
94 namecache[id] = new_id;
95 used_names.insert(new_id);
96 return namecache.at(id).c_str();
97 }
98
99 struct FirrtlWorker
100 {
101 Module *module;
102 std::ostream &f;
103
104 dict<SigBit, pair<string, int>> reverse_wire_map;
105 string unconn_id;
106 RTLIL::Design *design;
107 std::string indent;
108
109 // Define read/write ports and memories.
110 // We'll collect their definitions and emit the corresponding FIRRTL definitions at the appropriate point in module construction.
111 // For the moment, we don't handle $readmemh or $readmemb.
112 // These will be part of a subsequent PR.
113 struct read_port {
114 string name;
115 bool clk_enable;
116 bool clk_parity;
117 bool transparent;
118 RTLIL::SigSpec clk;
119 RTLIL::SigSpec ena;
120 RTLIL::SigSpec addr;
121 read_port(string name, bool clk_enable, bool clk_parity, bool transparent, RTLIL::SigSpec clk, RTLIL::SigSpec ena, RTLIL::SigSpec addr) : name(name), clk_enable(clk_enable), clk_parity(clk_parity), transparent(transparent), clk(clk), ena(ena), addr(addr) {
122 // Current (3/13/2019) conventions:
123 // generate a constant 0 for clock and a constant 1 for enable if they are undefined.
124 if (!clk.is_fully_def())
125 this->clk = SigSpec(RTLIL::Const(0, 1));
126 if (!ena.is_fully_def())
127 this->ena = SigSpec(RTLIL::Const(1, 1));
128 }
129 string gen_read(const char * indent) {
130 string addr_expr = make_expr(addr);
131 string ena_expr = make_expr(ena);
132 string clk_expr = make_expr(clk);
133 string addr_str = stringf("%s%s.addr <= %s\n", indent, name.c_str(), addr_expr.c_str());
134 string ena_str = stringf("%s%s.en <= %s\n", indent, name.c_str(), ena_expr.c_str());
135 string clk_str = stringf("%s%s.clk <= asClock(%s)\n", indent, name.c_str(), clk_expr.c_str());
136 return addr_str + ena_str + clk_str;
137 }
138 };
139 struct write_port : read_port {
140 RTLIL::SigSpec mask;
141 write_port(string name, bool clk_enable, bool clk_parity, bool transparent, RTLIL::SigSpec clk, RTLIL::SigSpec ena, RTLIL::SigSpec addr, RTLIL::SigSpec mask) : read_port(name, clk_enable, clk_parity, transparent, clk, ena, addr), mask(mask) {
142 if (!clk.is_fully_def())
143 this->clk = SigSpec(RTLIL::Const(0));
144 if (!ena.is_fully_def())
145 this->ena = SigSpec(RTLIL::Const(0));
146 if (!mask.is_fully_def())
147 this->ena = SigSpec(RTLIL::Const(1));
148 }
149 string gen_read(const char * /* indent */) {
150 log_error("gen_read called on write_port: %s\n", name.c_str());
151 return stringf("gen_read called on write_port: %s\n", name.c_str());
152 }
153 string gen_write(const char * indent) {
154 string addr_expr = make_expr(addr);
155 string ena_expr = make_expr(ena);
156 string clk_expr = make_expr(clk);
157 string mask_expr = make_expr(mask);
158 string mask_str = stringf("%s%s.mask <= %s\n", indent, name.c_str(), mask_expr.c_str());
159 string addr_str = stringf("%s%s.addr <= %s\n", indent, name.c_str(), addr_expr.c_str());
160 string ena_str = stringf("%s%s.en <= %s\n", indent, name.c_str(), ena_expr.c_str());
161 string clk_str = stringf("%s%s.clk <= asClock(%s)\n", indent, name.c_str(), clk_expr.c_str());
162 return addr_str + ena_str + clk_str + mask_str;
163 }
164 };
165 /* Memories defined within this module. */
166 struct memory {
167 Cell *pCell; // for error reporting
168 string name; // memory name
169 int abits; // number of address bits
170 int size; // size (in units) of the memory
171 int width; // size (in bits) of each element
172 int read_latency;
173 int write_latency;
174 vector<read_port> read_ports;
175 vector<write_port> write_ports;
176 std::string init_file;
177 std::string init_file_srcFileSpec;
178 string srcLine;
179 memory(Cell *pCell, string name, int abits, int size, int width) : pCell(pCell), name(name), abits(abits), size(size), width(width), read_latency(0), write_latency(1), init_file(""), init_file_srcFileSpec("") {
180 // Provide defaults for abits or size if one (but not the other) is specified.
181 if (this->abits == 0 && this->size != 0) {
182 this->abits = ceil_log2(this->size);
183 } else if (this->abits != 0 && this->size == 0) {
184 this->size = 1 << this->abits;
185 }
186 // Sanity-check this construction.
187 if (this->name == "") {
188 log_error("Nameless memory%s\n", this->atLine());
189 }
190 if (this->abits == 0 && this->size == 0) {
191 log_error("Memory %s has zero address bits and size%s\n", this->name.c_str(), this->atLine());
192 }
193 if (this->width == 0) {
194 log_error("Memory %s has zero width%s\n", this->name.c_str(), this->atLine());
195 }
196 }
197 // We need a default constructor for the dict insert.
198 memory() : pCell(0), read_latency(0), write_latency(1), init_file(""), init_file_srcFileSpec(""){}
199
200 const char *atLine() {
201 if (srcLine == "") {
202 if (pCell) {
203 auto p = pCell->attributes.find("\\src");
204 srcLine = " at " + p->second.decode_string();
205 }
206 }
207 return srcLine.c_str();
208 }
209 void add_memory_read_port(read_port &rp) {
210 read_ports.push_back(rp);
211 }
212 void add_memory_write_port(write_port &wp) {
213 write_ports.push_back(wp);
214 }
215 void add_memory_file(std::string init_file, std::string init_file_srcFileSpec) {
216 this->init_file = init_file;
217 this->init_file_srcFileSpec = init_file_srcFileSpec;
218 }
219
220 };
221 dict<string, memory> memories;
222
223 void register_memory(memory &m)
224 {
225 memories[m.name] = m;
226 }
227
228 void register_reverse_wire_map(string id, SigSpec sig)
229 {
230 for (int i = 0; i < GetSize(sig); i++)
231 reverse_wire_map[sig[i]] = make_pair(id, i);
232 }
233
234 FirrtlWorker(Module *module, std::ostream &f, RTLIL::Design *theDesign) : module(module), f(f), design(theDesign), indent(" ")
235 {
236 }
237
238 static string make_expr(const SigSpec &sig)
239 {
240 string expr;
241
242 for (auto chunk : sig.chunks())
243 {
244 string new_expr;
245
246 if (chunk.wire == nullptr)
247 {
248 std::vector<RTLIL::State> bits = chunk.data;
249 new_expr = stringf("UInt<%d>(\"h", GetSize(bits));
250
251 while (GetSize(bits) % 4 != 0)
252 bits.push_back(State::S0);
253
254 for (int i = GetSize(bits)-4; i >= 0; i -= 4)
255 {
256 int val = 0;
257 if (bits[i+0] == State::S1) val += 1;
258 if (bits[i+1] == State::S1) val += 2;
259 if (bits[i+2] == State::S1) val += 4;
260 if (bits[i+3] == State::S1) val += 8;
261 new_expr.push_back(val < 10 ? '0' + val : 'a' + val - 10);
262 }
263
264 new_expr += "\")";
265 }
266 else if (chunk.offset == 0 && chunk.width == chunk.wire->width)
267 {
268 new_expr = make_id(chunk.wire->name);
269 }
270 else
271 {
272 string wire_id = make_id(chunk.wire->name);
273 new_expr = stringf("bits(%s, %d, %d)", wire_id.c_str(), chunk.offset + chunk.width - 1, chunk.offset);
274 }
275
276 if (expr.empty())
277 expr = new_expr;
278 else
279 expr = "cat(" + new_expr + ", " + expr + ")";
280 }
281
282 return expr;
283 }
284
285 std::string fid(RTLIL::IdString internal_id)
286 {
287 return make_id(internal_id);
288 }
289
290 std::string cellname(RTLIL::Cell *cell)
291 {
292 return fid(cell->name).c_str();
293 }
294
295 void process_instance(RTLIL::Cell *cell, vector<string> &wire_exprs)
296 {
297 std::string cell_type = fid(cell->type);
298 std::string instanceOf;
299 // If this is a parameterized module, its parent module is encoded in the cell type
300 if (cell->type.substr(0, 8) == "$paramod")
301 {
302 std::string::iterator it;
303 for (it = cell_type.begin(); it < cell_type.end(); it++)
304 {
305 switch (*it) {
306 case '\\': /* FALL_THROUGH */
307 case '=': /* FALL_THROUGH */
308 case '\'': /* FALL_THROUGH */
309 case '$': instanceOf.append("_"); break;
310 default: instanceOf.append(1, *it); break;
311 }
312 }
313 }
314 else
315 {
316 instanceOf = cell_type;
317 }
318
319 std::string cell_name = cellname(cell);
320 std::string cell_name_comment;
321 if (cell_name != fid(cell->name))
322 cell_name_comment = " /* " + fid(cell->name) + " */ ";
323 else
324 cell_name_comment = "";
325 // Find the module corresponding to this instance.
326 auto instModule = design->module(cell->type);
327 // If there is no instance for this, just return.
328 if (instModule == NULL)
329 {
330 log_warning("No instance for %s.%s\n", cell_type.c_str(), cell_name.c_str());
331 return;
332 }
333 wire_exprs.push_back(stringf("%s" "inst %s%s of %s", indent.c_str(), cell_name.c_str(), cell_name_comment.c_str(), instanceOf.c_str()));
334
335 for (auto it = cell->connections().begin(); it != cell->connections().end(); ++it) {
336 if (it->second.size() > 0) {
337 const SigSpec &secondSig = it->second;
338 const std::string firstName = cell_name + "." + make_id(it->first);
339 const std::string secondExpr = make_expr(secondSig);
340 // Find the direction for this port.
341 FDirection dir = getPortFDirection(it->first, instModule);
342 std::string sourceExpr, sinkExpr;
343 const SigSpec *sinkSig = nullptr;
344 switch (dir) {
345 case FD_INOUT:
346 log_warning("Instance port connection %s.%s is INOUT; treating as OUT\n", cell_type.c_str(), log_signal(it->second));
347 /* FALLTHRU */
348 case FD_OUT:
349 sourceExpr = firstName;
350 sinkExpr = secondExpr;
351 sinkSig = &secondSig;
352 break;
353 case FD_NODIRECTION:
354 log_warning("Instance port connection %s.%s is NODIRECTION; treating as IN\n", cell_type.c_str(), log_signal(it->second));
355 /* FALLTHRU */
356 case FD_IN:
357 sourceExpr = secondExpr;
358 sinkExpr = firstName;
359 break;
360 default:
361 log_error("Instance port %s.%s unrecognized connection direction 0x%x !\n", cell_type.c_str(), log_signal(it->second), dir);
362 break;
363 }
364 // Check for subfield assignment.
365 std::string bitsString = "bits(";
366 if (sinkExpr.substr(0, bitsString.length()) == bitsString ) {
367 if (sinkSig == nullptr)
368 log_error("Unknown subfield %s.%s\n", cell_type.c_str(), sinkExpr.c_str());
369 // Don't generate the assignment here.
370 // Add the source and sink to the "reverse_wire_map" and we'll output the assignment
371 // as part of the coalesced subfield assignments for this wire.
372 register_reverse_wire_map(sourceExpr, *sinkSig);
373 } else {
374 wire_exprs.push_back(stringf("\n%s%s <= %s", indent.c_str(), sinkExpr.c_str(), sourceExpr.c_str()));
375 }
376 }
377 }
378 wire_exprs.push_back(stringf("\n"));
379
380 }
381
382 // Given an expression for a shift amount, and a maximum width,
383 // generate the FIRRTL expression for equivalent dynamic shift taking into account FIRRTL shift semantics.
384 std::string gen_dshl(const string b_expr, const int b_padded_width)
385 {
386 string result = b_expr;
387 if (b_padded_width >= FIRRTL_MAX_DSH_WIDTH_ERROR) {
388 int max_shift_width_bits = FIRRTL_MAX_DSH_WIDTH_ERROR - 1;
389 string max_shift_string = stringf("UInt<%d>(%d)", max_shift_width_bits, (1<<max_shift_width_bits) - 1);
390 // Deal with the difference in semantics between FIRRTL and verilog
391 result = stringf("mux(gt(%s, %s), %s, bits(%s, %d, 0))", b_expr.c_str(), max_shift_string.c_str(), max_shift_string.c_str(), b_expr.c_str(), max_shift_width_bits - 1);
392 }
393 return result;
394 }
395
396 void run()
397 {
398 f << stringf(" module %s:\n", make_id(module->name));
399 vector<string> port_decls, wire_decls, cell_exprs, wire_exprs;
400
401 for (auto wire : module->wires())
402 {
403 const auto wireName = make_id(wire->name);
404 // If a wire has initial data, issue a warning since FIRRTL doesn't currently support it.
405 if (wire->attributes.count("\\init")) {
406 log_warning("Initial value (%s) for (%s.%s) not supported\n",
407 wire->attributes.at("\\init").as_string().c_str(),
408 log_id(module), log_id(wire));
409 }
410 if (wire->port_id)
411 {
412 if (wire->port_input && wire->port_output)
413 log_error("Module port %s.%s is inout!\n", log_id(module), log_id(wire));
414 port_decls.push_back(stringf(" %s %s: UInt<%d>\n", wire->port_input ? "input" : "output",
415 wireName, wire->width));
416 }
417 else
418 {
419 wire_decls.push_back(stringf(" wire %s: UInt<%d>\n", wireName, wire->width));
420 }
421 }
422
423 for (auto cell : module->cells())
424 {
425 bool extract_y_bits = false; // Assume no extraction of final bits will be required.
426 // Is this cell is a module instance?
427 if (cell->type[0] != '$')
428 {
429 process_instance(cell, wire_exprs);
430 continue;
431 }
432 if (cell->type.in("$not", "$logic_not", "$neg", "$reduce_and", "$reduce_or", "$reduce_xor", "$reduce_bool", "$reduce_xnor"))
433 {
434 string y_id = make_id(cell->name);
435 bool is_signed = cell->parameters.at("\\A_SIGNED").as_bool();
436 int y_width = cell->parameters.at("\\Y_WIDTH").as_int();
437 string a_expr = make_expr(cell->getPort("\\A"));
438 wire_decls.push_back(stringf(" wire %s: UInt<%d>\n", y_id.c_str(), y_width));
439
440 if (cell->parameters.at("\\A_SIGNED").as_bool()) {
441 a_expr = "asSInt(" + a_expr + ")";
442 }
443
444 // Don't use the results of logical operations (a single bit) to control padding
445 if (!(cell->type.in("$eq", "$eqx", "$gt", "$ge", "$lt", "$le", "$ne", "$nex", "$reduce_bool", "$logic_not") && y_width == 1) ) {
446 a_expr = stringf("pad(%s, %d)", a_expr.c_str(), y_width);
447 }
448
449 string primop;
450 bool always_uint = false;
451 if (cell->type == "$not") primop = "not";
452 else if (cell->type == "$neg") primop = "neg";
453 else if (cell->type == "$logic_not") {
454 primop = "eq";
455 a_expr = stringf("%s, UInt(0)", a_expr.c_str());
456 }
457 else if (cell->type == "$reduce_and") primop = "andr";
458 else if (cell->type == "$reduce_or") primop = "orr";
459 else if (cell->type == "$reduce_xor") primop = "xorr";
460 else if (cell->type == "$reduce_xnor") {
461 primop = "not";
462 a_expr = stringf("xorr(%s)", a_expr.c_str());
463 }
464 else if (cell->type == "$reduce_bool") {
465 primop = "neq";
466 // Use the sign of the a_expr and its width as the type (UInt/SInt) and width of the comparand.
467 bool a_signed = cell->parameters.at("\\A_SIGNED").as_bool();
468 int a_width = cell->parameters.at("\\A_WIDTH").as_int();
469 a_expr = stringf("%s, %cInt<%d>(0)", a_expr.c_str(), a_signed ? 'S' : 'U', a_width);
470 }
471
472 string expr = stringf("%s(%s)", primop.c_str(), a_expr.c_str());
473
474 if ((is_signed && !always_uint))
475 expr = stringf("asUInt(%s)", expr.c_str());
476
477 cell_exprs.push_back(stringf(" %s <= %s\n", y_id.c_str(), expr.c_str()));
478 register_reverse_wire_map(y_id, cell->getPort("\\Y"));
479
480 continue;
481 }
482 if (cell->type.in("$add", "$sub", "$mul", "$div", "$mod", "$xor", "$and", "$or", "$eq", "$eqx",
483 "$gt", "$ge", "$lt", "$le", "$ne", "$nex", "$shr", "$sshr", "$sshl", "$shl",
484 "$logic_and", "$logic_or"))
485 {
486 string y_id = make_id(cell->name);
487 bool is_signed = cell->parameters.at("\\A_SIGNED").as_bool();
488 int y_width = cell->parameters.at("\\Y_WIDTH").as_int();
489 string a_expr = make_expr(cell->getPort("\\A"));
490 string b_expr = make_expr(cell->getPort("\\B"));
491 int b_padded_width = cell->parameters.at("\\B_WIDTH").as_int();
492 wire_decls.push_back(stringf(" wire %s: UInt<%d>\n", y_id.c_str(), y_width));
493
494 if (cell->parameters.at("\\A_SIGNED").as_bool()) {
495 a_expr = "asSInt(" + a_expr + ")";
496 }
497 // Shift amount is always unsigned, and needn't be padded to result width.
498 if (!cell->type.in("$shr", "$sshr", "$shl", "$sshl")) {
499 if (cell->parameters.at("\\B_SIGNED").as_bool()) {
500 b_expr = "asSInt(" + b_expr + ")";
501 }
502 if (b_padded_width < y_width) {
503 auto b_sig = cell->getPort("\\B");
504 b_padded_width = y_width;
505 }
506 }
507
508 auto a_sig = cell->getPort("\\A");
509
510 if (cell->parameters.at("\\A_SIGNED").as_bool() & (cell->type == "$shr")) {
511 a_expr = "asUInt(" + a_expr + ")";
512 }
513
514 string primop;
515 bool always_uint = false;
516 if (cell->type == "$add") primop = "add";
517 else if (cell->type == "$sub") primop = "sub";
518 else if (cell->type == "$mul") primop = "mul";
519 else if (cell->type == "$div") primop = "div";
520 else if (cell->type == "$mod") primop = "rem";
521 else if (cell->type == "$and") {
522 primop = "and";
523 always_uint = true;
524 }
525 else if (cell->type == "$or" ) {
526 primop = "or";
527 always_uint = true;
528 }
529 else if (cell->type == "$xor") {
530 primop = "xor";
531 always_uint = true;
532 }
533 else if ((cell->type == "$eq") | (cell->type == "$eqx")) {
534 primop = "eq";
535 always_uint = true;
536 }
537 else if ((cell->type == "$ne") | (cell->type == "$nex")) {
538 primop = "neq";
539 always_uint = true;
540 }
541 else if (cell->type == "$gt") {
542 primop = "gt";
543 always_uint = true;
544 }
545 else if (cell->type == "$ge") {
546 primop = "geq";
547 always_uint = true;
548 }
549 else if (cell->type == "$lt") {
550 primop = "lt";
551 always_uint = true;
552 }
553 else if (cell->type == "$le") {
554 primop = "leq";
555 always_uint = true;
556 }
557 else if ((cell->type == "$shl") | (cell->type == "$sshl")) {
558 // FIRRTL will widen the result (y) by the amount of the shift.
559 // We'll need to offset this by extracting the un-widened portion as Verilog would do.
560 extract_y_bits = true;
561 // Is the shift amount constant?
562 auto b_sig = cell->getPort("\\B");
563 if (b_sig.is_fully_const()) {
564 primop = "shl";
565 } else {
566 primop = "dshl";
567 // Convert from FIRRTL left shift semantics.
568 b_expr = gen_dshl(b_expr, b_padded_width);
569 }
570 }
571 else if ((cell->type == "$shr") | (cell->type == "$sshr")) {
572 // We don't need to extract a specific range of bits.
573 extract_y_bits = false;
574 // Is the shift amount constant?
575 auto b_sig = cell->getPort("\\B");
576 if (b_sig.is_fully_const()) {
577 primop = "shr";
578 } else {
579 primop = "dshr";
580 }
581 }
582 else if ((cell->type == "$logic_and")) {
583 primop = "and";
584 a_expr = "neq(" + a_expr + ", UInt(0))";
585 b_expr = "neq(" + b_expr + ", UInt(0))";
586 always_uint = true;
587 }
588 else if ((cell->type == "$logic_or")) {
589 primop = "or";
590 a_expr = "neq(" + a_expr + ", UInt(0))";
591 b_expr = "neq(" + b_expr + ", UInt(0))";
592 always_uint = true;
593 }
594
595 if (!cell->parameters.at("\\B_SIGNED").as_bool()) {
596 b_expr = "asUInt(" + b_expr + ")";
597 }
598
599 string expr = stringf("%s(%s, %s)", primop.c_str(), a_expr.c_str(), b_expr.c_str());
600
601 // Deal with FIRRTL's "shift widens" semantics
602 if (extract_y_bits) {
603 expr = stringf("bits(%s, %d, 0)", expr.c_str(), y_width - 1);
604 }
605
606 if ((is_signed && !always_uint) || cell->type.in("$sub"))
607 expr = stringf("asUInt(%s)", expr.c_str());
608
609 cell_exprs.push_back(stringf(" %s <= %s\n", y_id.c_str(), expr.c_str()));
610 register_reverse_wire_map(y_id, cell->getPort("\\Y"));
611
612 continue;
613 }
614
615 if (cell->type.in("$mux"))
616 {
617 string y_id = make_id(cell->name);
618 int width = cell->parameters.at("\\WIDTH").as_int();
619 string a_expr = make_expr(cell->getPort("\\A"));
620 string b_expr = make_expr(cell->getPort("\\B"));
621 string s_expr = make_expr(cell->getPort("\\S"));
622 wire_decls.push_back(stringf(" wire %s: UInt<%d>\n", y_id.c_str(), width));
623
624 string expr = stringf("mux(%s, %s, %s)", s_expr.c_str(), b_expr.c_str(), a_expr.c_str());
625
626 cell_exprs.push_back(stringf(" %s <= %s\n", y_id.c_str(), expr.c_str()));
627 register_reverse_wire_map(y_id, cell->getPort("\\Y"));
628
629 continue;
630 }
631
632 if (cell->type.in("$mem"))
633 {
634 string mem_id = make_id(cell->name);
635 int abits = cell->parameters.at("\\ABITS").as_int();
636 int width = cell->parameters.at("\\WIDTH").as_int();
637 int size = cell->parameters.at("\\SIZE").as_int();
638 memory m(cell, mem_id, abits, size, width);
639 int rd_ports = cell->parameters.at("\\RD_PORTS").as_int();
640 int wr_ports = cell->parameters.at("\\WR_PORTS").as_int();
641
642 Const initdata = cell->parameters.at("\\INIT");
643 for (State bit : initdata.bits)
644 if (bit != State::Sx)
645 log_error("Memory with initialization data: %s.%s\n", log_id(module), log_id(cell));
646
647 Const rd_clk_enable = cell->parameters.at("\\RD_CLK_ENABLE");
648 Const wr_clk_enable = cell->parameters.at("\\WR_CLK_ENABLE");
649 Const wr_clk_polarity = cell->parameters.at("\\WR_CLK_POLARITY");
650
651 int offset = cell->parameters.at("\\OFFSET").as_int();
652 if (offset != 0)
653 log_error("Memory with nonzero offset: %s.%s\n", log_id(module), log_id(cell));
654
655 for (int i = 0; i < rd_ports; i++)
656 {
657 if (rd_clk_enable[i] != State::S0)
658 log_error("Clocked read port %d on memory %s.%s.\n", i, log_id(module), log_id(cell));
659
660 SigSpec addr_sig = cell->getPort("\\RD_ADDR").extract(i*abits, abits);
661 SigSpec data_sig = cell->getPort("\\RD_DATA").extract(i*width, width);
662 string addr_expr = make_expr(addr_sig);
663 string name(stringf("%s.r%d", m.name.c_str(), i));
664 bool clk_enable = false;
665 bool clk_parity = true;
666 bool transparency = false;
667 SigSpec ena_sig = RTLIL::SigSpec(RTLIL::State::S1, 1);
668 SigSpec clk_sig = RTLIL::SigSpec(RTLIL::State::S0, 1);
669 read_port rp(name, clk_enable, clk_parity, transparency, clk_sig, ena_sig, addr_sig);
670 m.add_memory_read_port(rp);
671 cell_exprs.push_back(rp.gen_read(indent.c_str()));
672 register_reverse_wire_map(stringf("%s.data", name.c_str()), data_sig);
673 }
674
675 for (int i = 0; i < wr_ports; i++)
676 {
677 if (wr_clk_enable[i] != State::S1)
678 log_error("Unclocked write port %d on memory %s.%s.\n", i, log_id(module), log_id(cell));
679
680 if (wr_clk_polarity[i] != State::S1)
681 log_error("Negedge write port %d on memory %s.%s.\n", i, log_id(module), log_id(cell));
682
683 string name(stringf("%s.w%d", m.name.c_str(), i));
684 bool clk_enable = true;
685 bool clk_parity = true;
686 bool transparency = false;
687 SigSpec addr_sig =cell->getPort("\\WR_ADDR").extract(i*abits, abits);
688 string addr_expr = make_expr(addr_sig);
689 SigSpec data_sig =cell->getPort("\\WR_DATA").extract(i*width, width);
690 string data_expr = make_expr(data_sig);
691 SigSpec clk_sig = cell->getPort("\\WR_CLK").extract(i);
692 string clk_expr = make_expr(clk_sig);
693
694 SigSpec wen_sig = cell->getPort("\\WR_EN").extract(i*width, width);
695 string wen_expr = make_expr(wen_sig[0]);
696
697 for (int i = 1; i < GetSize(wen_sig); i++)
698 if (wen_sig[0] != wen_sig[i])
699 log_error("Complex write enable on port %d on memory %s.%s.\n", i, log_id(module), log_id(cell));
700
701 SigSpec mask_sig = RTLIL::SigSpec(RTLIL::State::S1, 1);
702 write_port wp(name, clk_enable, clk_parity, transparency, clk_sig, wen_sig[0], addr_sig, mask_sig);
703 m.add_memory_write_port(wp);
704 cell_exprs.push_back(stringf("%s%s.data <= %s\n", indent.c_str(), name.c_str(), data_expr.c_str()));
705 cell_exprs.push_back(wp.gen_write(indent.c_str()));
706 }
707 register_memory(m);
708 continue;
709 }
710
711 if (cell->type.in("$memwr", "$memrd", "$meminit"))
712 {
713 std::string cell_type = fid(cell->type);
714 std::string mem_id = make_id(cell->parameters["\\MEMID"].decode_string());
715 int abits = cell->parameters.at("\\ABITS").as_int();
716 int width = cell->parameters.at("\\WIDTH").as_int();
717 memory *mp = nullptr;
718 if (cell->type == "$meminit" ) {
719 log_error("$meminit (%s.%s.%s) currently unsupported\n", log_id(module), log_id(cell), mem_id.c_str());
720 } else {
721 // It's a $memwr or $memrd. Remember the read/write port parameters for the eventual FIRRTL memory definition.
722 auto addrSig = cell->getPort("\\ADDR");
723 auto dataSig = cell->getPort("\\DATA");
724 auto enableSig = cell->getPort("\\EN");
725 auto clockSig = cell->getPort("\\CLK");
726 Const clk_enable = cell->parameters.at("\\CLK_ENABLE");
727 Const clk_polarity = cell->parameters.at("\\CLK_POLARITY");
728
729 // Do we already have an entry for this memory?
730 if (memories.count(mem_id) == 0) {
731 memory m(cell, mem_id, abits, 0, width);
732 register_memory(m);
733 }
734 mp = &memories.at(mem_id);
735 int portNum = 0;
736 bool transparency = false;
737 string data_expr = make_expr(dataSig);
738 if (cell->type.in("$memwr")) {
739 portNum = (int) mp->write_ports.size();
740 write_port wp(stringf("%s.w%d", mem_id.c_str(), portNum), clk_enable.as_bool(), clk_polarity.as_bool(), transparency, clockSig, enableSig, addrSig, dataSig);
741 mp->add_memory_write_port(wp);
742 cell_exprs.push_back(stringf("%s%s.data <= %s\n", indent.c_str(), wp.name.c_str(), data_expr.c_str()));
743 cell_exprs.push_back(wp.gen_write(indent.c_str()));
744 } else if (cell->type.in("$memrd")) {
745 portNum = (int) mp->read_ports.size();
746 read_port rp(stringf("%s.r%d", mem_id.c_str(), portNum), clk_enable.as_bool(), clk_polarity.as_bool(), transparency, clockSig, enableSig, addrSig);
747 mp->add_memory_read_port(rp);
748 cell_exprs.push_back(rp.gen_read(indent.c_str()));
749 register_reverse_wire_map(stringf("%s.data", rp.name.c_str()), dataSig);
750 }
751 }
752 continue;
753 }
754
755 if (cell->type.in("$dff"))
756 {
757 bool clkpol = cell->parameters.at("\\CLK_POLARITY").as_bool();
758 if (clkpol == false)
759 log_error("Negative edge clock on FF %s.%s.\n", log_id(module), log_id(cell));
760
761 string q_id = make_id(cell->name);
762 int width = cell->parameters.at("\\WIDTH").as_int();
763 string expr = make_expr(cell->getPort("\\D"));
764 string clk_expr = "asClock(" + make_expr(cell->getPort("\\CLK")) + ")";
765
766 wire_decls.push_back(stringf(" reg %s: UInt<%d>, %s\n", q_id.c_str(), width, clk_expr.c_str()));
767
768 cell_exprs.push_back(stringf(" %s <= %s\n", q_id.c_str(), expr.c_str()));
769 register_reverse_wire_map(q_id, cell->getPort("\\Q"));
770
771 continue;
772 }
773
774 // This may be a parameterized module - paramod.
775 if (cell->type.substr(0, 8) == "$paramod")
776 {
777 process_instance(cell, wire_exprs);
778 continue;
779 }
780 if (cell->type == "$shiftx") {
781 // assign y = a[b +: y_width];
782 // We'll extract the correct bits as part of the primop.
783
784 string y_id = make_id(cell->name);
785 int y_width = cell->parameters.at("\\Y_WIDTH").as_int();
786 string a_expr = make_expr(cell->getPort("\\A"));
787 // Get the initial bit selector
788 string b_expr = make_expr(cell->getPort("\\B"));
789 wire_decls.push_back(stringf(" wire %s: UInt<%d>\n", y_id.c_str(), y_width));
790
791 if (cell->getParam("\\B_SIGNED").as_bool()) {
792 // Use validif to constrain the selection (test the sign bit)
793 auto b_string = b_expr.c_str();
794 int b_sign = cell->parameters.at("\\B_WIDTH").as_int() - 1;
795 b_expr = stringf("validif(not(bits(%s, %d, %d)), %s)", b_string, b_sign, b_sign, b_string);
796 }
797 string expr = stringf("dshr(%s, %s)", a_expr.c_str(), b_expr.c_str());
798
799 cell_exprs.push_back(stringf(" %s <= %s\n", y_id.c_str(), expr.c_str()));
800 register_reverse_wire_map(y_id, cell->getPort("\\Y"));
801 continue;
802 }
803 if (cell->type == "$shift") {
804 // assign y = a >> b;
805 // where b may be negative
806
807 string y_id = make_id(cell->name);
808 int y_width = cell->parameters.at("\\Y_WIDTH").as_int();
809 string a_expr = make_expr(cell->getPort("\\A"));
810 string b_expr = make_expr(cell->getPort("\\B"));
811 auto b_string = b_expr.c_str();
812 int b_padded_width = cell->parameters.at("\\B_WIDTH").as_int();
813 string expr;
814 wire_decls.push_back(stringf(" wire %s: UInt<%d>\n", y_id.c_str(), y_width));
815
816 if (cell->getParam("\\B_SIGNED").as_bool()) {
817 // We generate a left or right shift based on the sign of b.
818 std::string dshl = stringf("bits(dshl(%s, %s), 0, %d)", a_expr.c_str(), gen_dshl(b_expr, b_padded_width).c_str(), y_width);
819 std::string dshr = stringf("dshr(%s, %s)", a_expr.c_str(), b_string);
820 expr = stringf("mux(%s < 0, %s, %s)",
821 b_string,
822 dshl.c_str(),
823 dshr.c_str()
824 );
825 } else {
826 expr = stringf("dshr(%s, %s)", a_expr.c_str(), b_string);
827 }
828 cell_exprs.push_back(stringf(" %s <= %s\n", y_id.c_str(), expr.c_str()));
829 register_reverse_wire_map(y_id, cell->getPort("\\Y"));
830 continue;
831 }
832 log_warning("Cell type not supported: %s (%s.%s)\n", log_id(cell->type), log_id(module), log_id(cell));
833 }
834
835 for (auto conn : module->connections())
836 {
837 string y_id = next_id();
838 int y_width = GetSize(conn.first);
839 string expr = make_expr(conn.second);
840
841 wire_decls.push_back(stringf(" wire %s: UInt<%d>\n", y_id.c_str(), y_width));
842 cell_exprs.push_back(stringf(" %s <= %s\n", y_id.c_str(), expr.c_str()));
843 register_reverse_wire_map(y_id, conn.first);
844 }
845
846 for (auto wire : module->wires())
847 {
848 string expr;
849
850 if (wire->port_input)
851 continue;
852
853 int cursor = 0;
854 bool is_valid = false;
855 bool make_unconn_id = false;
856
857 while (cursor < wire->width)
858 {
859 int chunk_width = 1;
860 string new_expr;
861
862 SigBit start_bit(wire, cursor);
863
864 if (reverse_wire_map.count(start_bit))
865 {
866 pair<string, int> start_map = reverse_wire_map.at(start_bit);
867
868 while (cursor+chunk_width < wire->width)
869 {
870 SigBit stop_bit(wire, cursor+chunk_width);
871
872 if (reverse_wire_map.count(stop_bit) == 0)
873 break;
874
875 pair<string, int> stop_map = reverse_wire_map.at(stop_bit);
876 stop_map.second -= chunk_width;
877
878 if (start_map != stop_map)
879 break;
880
881 chunk_width++;
882 }
883
884 new_expr = stringf("bits(%s, %d, %d)", start_map.first.c_str(),
885 start_map.second + chunk_width - 1, start_map.second);
886 is_valid = true;
887 }
888 else
889 {
890 if (unconn_id.empty()) {
891 unconn_id = next_id();
892 make_unconn_id = true;
893 }
894 new_expr = unconn_id;
895 }
896
897 if (expr.empty())
898 expr = new_expr;
899 else
900 expr = "cat(" + new_expr + ", " + expr + ")";
901
902 cursor += chunk_width;
903 }
904
905 if (is_valid) {
906 if (make_unconn_id) {
907 wire_decls.push_back(stringf(" wire %s: UInt<1>\n", unconn_id.c_str()));
908 wire_decls.push_back(stringf(" %s is invalid\n", unconn_id.c_str()));
909 }
910 wire_exprs.push_back(stringf(" %s <= %s\n", make_id(wire->name), expr.c_str()));
911 } else {
912 if (make_unconn_id) {
913 unconn_id.clear();
914 }
915 wire_decls.push_back(stringf(" %s is invalid\n", make_id(wire->name)));
916 }
917 }
918
919 for (auto str : port_decls)
920 f << str;
921
922 f << stringf("\n");
923
924 for (auto str : wire_decls)
925 f << str;
926
927 f << stringf("\n");
928
929 // If we have any memory definitions, output them.
930 for (auto kv : memories) {
931 memory &m = kv.second;
932 f << stringf(" mem %s:\n", m.name.c_str());
933 f << stringf(" data-type => UInt<%d>\n", m.width);
934 f << stringf(" depth => %d\n", m.size);
935 for (int i = 0; i < (int) m.read_ports.size(); i += 1) {
936 f << stringf(" reader => r%d\n", i);
937 }
938 for (int i = 0; i < (int) m.write_ports.size(); i += 1) {
939 f << stringf(" writer => w%d\n", i);
940 }
941 f << stringf(" read-latency => %d\n", m.read_latency);
942 f << stringf(" write-latency => %d\n", m.write_latency);
943 f << stringf(" read-under-write => undefined\n");
944 }
945 f << stringf("\n");
946
947 for (auto str : cell_exprs)
948 f << str;
949
950 f << stringf("\n");
951
952 for (auto str : wire_exprs)
953 f << str;
954 }
955 };
956
957 struct FirrtlBackend : public Backend {
958 FirrtlBackend() : Backend("firrtl", "write design to a FIRRTL file") { }
959 void help() YS_OVERRIDE
960 {
961 // |---v---|---v---|---v---|---v---|---v---|---v---|---v---|---v---|---v---|---v---|
962 log("\n");
963 log(" write_firrtl [options] [filename]\n");
964 log("\n");
965 log("Write a FIRRTL netlist of the current design.\n");
966 log("The following commands are executed by this command:\n");
967 log(" pmuxtree\n");
968 log("\n");
969 }
970 void execute(std::ostream *&f, std::string filename, std::vector<std::string> args, RTLIL::Design *design) YS_OVERRIDE
971 {
972 size_t argidx = args.size(); // We aren't expecting any arguments.
973
974 // If we weren't explicitly passed a filename, use the last argument (if it isn't a flag).
975 if (filename == "") {
976 if (argidx > 0 && args[argidx - 1][0] != '-') {
977 // extra_args and friends need to see this argument.
978 argidx -= 1;
979 filename = args[argidx];
980 }
981 }
982 extra_args(f, filename, args, argidx);
983
984 if (!design->full_selection())
985 log_cmd_error("This command only operates on fully selected designs!\n");
986
987 log_header(design, "Executing FIRRTL backend.\n");
988 log_push();
989
990 Pass::call(design, stringf("pmuxtree"));
991
992 namecache.clear();
993 autoid_counter = 0;
994
995 // Get the top module, or a reasonable facsimile - we need something for the circuit name.
996 Module *top = design->top_module();
997 Module *last = nullptr;
998 // Generate module and wire names.
999 for (auto module : design->modules()) {
1000 make_id(module->name);
1001 last = module;
1002 if (top == nullptr && module->get_bool_attribute("\\top")) {
1003 top = module;
1004 }
1005 for (auto wire : module->wires())
1006 if (wire->port_id)
1007 make_id(wire->name);
1008 }
1009
1010 if (top == nullptr)
1011 top = last;
1012
1013 *f << stringf("circuit %s:\n", make_id(top->name));
1014
1015 for (auto module : design->modules())
1016 {
1017 FirrtlWorker worker(module, *f, design);
1018 worker.run();
1019 }
1020
1021 namecache.clear();
1022 autoid_counter = 0;
1023 }
1024 } FirrtlBackend;
1025
1026 PRIVATE_NAMESPACE_END