Add fileinfo to firrtl backend for modules and wires
[yosys.git] / backends / firrtl / firrtl.cc
1 /*
2 * yosys -- Yosys Open SYnthesis Suite
3 *
4 * Copyright (C) 2012 Clifford Wolf <clifford@clifford.at>
5 *
6 * Permission to use, copy, modify, and/or distribute this software for any
7 * purpose with or without fee is hereby granted, provided that the above
8 * copyright notice and this permission notice appear in all copies.
9 *
10 * THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES
11 * WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF
12 * MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR
13 * ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES
14 * WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN
15 * ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF
16 * OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE.
17 *
18 */
19
20 #include "kernel/rtlil.h"
21 #include "kernel/register.h"
22 #include "kernel/sigtools.h"
23 #include "kernel/celltypes.h"
24 #include "kernel/cellaigs.h"
25 #include "kernel/log.h"
26 #include <algorithm>
27 #include <string>
28 #include <vector>
29 #include <cmath>
30
31 USING_YOSYS_NAMESPACE
32 PRIVATE_NAMESPACE_BEGIN
33
34 pool<string> used_names;
35 dict<IdString, string> namecache;
36 int autoid_counter;
37
38 typedef unsigned FDirection;
39 static const FDirection FD_NODIRECTION = 0x0;
40 static const FDirection FD_IN = 0x1;
41 static const FDirection FD_OUT = 0x2;
42 static const FDirection FD_INOUT = 0x3;
43 static const int FIRRTL_MAX_DSH_WIDTH_ERROR = 20; // For historic reasons, this is actually one greater than the maximum allowed shift width
44
45 // Shamelessly copied from ilang_backend.cc. Something better is surely possible here.
46 void dump_const(std::ostream &f, const RTLIL::Const &data, int width = -1, int offset = 0, bool autoint = true)
47 {
48 if (width < 0)
49 width = data.bits.size() - offset;
50 if ((data.flags & RTLIL::CONST_FLAG_STRING) == 0 || width != (int)data.bits.size()) {
51 if (width == 32 && autoint) {
52 int32_t val = 0;
53 for (int i = 0; i < width; i++) {
54 log_assert(offset+i < (int)data.bits.size());
55 switch (data.bits[offset+i]) {
56 case RTLIL::S0: break;
57 case RTLIL::S1: val |= 1 << i; break;
58 default: val = -1; break;
59 }
60 }
61 if (val >= 0) {
62 f << stringf("%d", val);
63 return;
64 }
65 }
66 f << stringf("%d'", width);
67 for (int i = offset+width-1; i >= offset; i--) {
68 log_assert(i < (int)data.bits.size());
69 switch (data.bits[i]) {
70 case RTLIL::S0: f << stringf("0"); break;
71 case RTLIL::S1: f << stringf("1"); break;
72 case RTLIL::Sx: f << stringf("x"); break;
73 case RTLIL::Sz: f << stringf("z"); break;
74 case RTLIL::Sa: f << stringf("-"); break;
75 case RTLIL::Sm: f << stringf("m"); break;
76 }
77 }
78 } else {
79 f << stringf("\"");
80 std::string str = data.decode_string();
81 for (size_t i = 0; i < str.size(); i++) {
82 if (str[i] == '\n')
83 f << stringf("\\n");
84 else if (str[i] == '\t')
85 f << stringf("\\t");
86 else if (str[i] < 32)
87 f << stringf("\\%03o", str[i]);
88 else if (str[i] == '"')
89 f << stringf("\\\"");
90 else if (str[i] == '\\')
91 f << stringf("\\\\");
92 else
93 f << str[i];
94 }
95 f << stringf("\"");
96 }
97 }
98
99 std::string getFileinfo(dict<RTLIL::IdString, RTLIL::Const> attributes)
100 {
101 std::ostringstream fileinfo;
102 for (auto &it : attributes) {
103 if (it.first == "\\src") {
104 dump_const(fileinfo, it.second);
105 }
106 }
107 return fileinfo.str();
108 }
109
110 // Get a port direction with respect to a specific module.
111 FDirection getPortFDirection(IdString id, Module *module)
112 {
113 Wire *wire = module->wires_.at(id);
114 FDirection direction = FD_NODIRECTION;
115 if (wire && wire->port_id)
116 {
117 if (wire->port_input)
118 direction |= FD_IN;
119 if (wire->port_output)
120 direction |= FD_OUT;
121 }
122 return direction;
123 }
124
125 string next_id()
126 {
127 string new_id;
128
129 while (1) {
130 new_id = stringf("_%d", autoid_counter++);
131 if (used_names.count(new_id) == 0) break;
132 }
133
134 used_names.insert(new_id);
135 return new_id;
136 }
137
138 const char *make_id(IdString id)
139 {
140 if (namecache.count(id) != 0)
141 return namecache.at(id).c_str();
142
143 string new_id = log_id(id);
144
145 for (int i = 0; i < GetSize(new_id); i++)
146 {
147 char &ch = new_id[i];
148 if ('a' <= ch && ch <= 'z') continue;
149 if ('A' <= ch && ch <= 'Z') continue;
150 if ('0' <= ch && ch <= '9' && i != 0) continue;
151 if ('_' == ch) continue;
152 ch = '_';
153 }
154
155 while (used_names.count(new_id) != 0)
156 new_id += '_';
157
158 namecache[id] = new_id;
159 used_names.insert(new_id);
160 return namecache.at(id).c_str();
161 }
162
163 struct FirrtlWorker
164 {
165 Module *module;
166 std::ostream &f;
167
168 dict<SigBit, pair<string, int>> reverse_wire_map;
169 string unconn_id;
170 RTLIL::Design *design;
171 std::string indent;
172
173 // Define read/write ports and memories.
174 // We'll collect their definitions and emit the corresponding FIRRTL definitions at the appropriate point in module construction.
175 // For the moment, we don't handle $readmemh or $readmemb.
176 // These will be part of a subsequent PR.
177 struct read_port {
178 string name;
179 bool clk_enable;
180 bool clk_parity;
181 bool transparent;
182 RTLIL::SigSpec clk;
183 RTLIL::SigSpec ena;
184 RTLIL::SigSpec addr;
185 read_port(string name, bool clk_enable, bool clk_parity, bool transparent, RTLIL::SigSpec clk, RTLIL::SigSpec ena, RTLIL::SigSpec addr) : name(name), clk_enable(clk_enable), clk_parity(clk_parity), transparent(transparent), clk(clk), ena(ena), addr(addr) {
186 // Current (3/13/2019) conventions:
187 // generate a constant 0 for clock and a constant 1 for enable if they are undefined.
188 if (!clk.is_fully_def())
189 this->clk = SigSpec(State::S0);
190 if (!ena.is_fully_def())
191 this->ena = SigSpec(State::S1);
192 }
193 string gen_read(const char * indent) {
194 string addr_expr = make_expr(addr);
195 string ena_expr = make_expr(ena);
196 string clk_expr = make_expr(clk);
197 string addr_str = stringf("%s%s.addr <= %s\n", indent, name.c_str(), addr_expr.c_str());
198 string ena_str = stringf("%s%s.en <= %s\n", indent, name.c_str(), ena_expr.c_str());
199 string clk_str = stringf("%s%s.clk <= asClock(%s)\n", indent, name.c_str(), clk_expr.c_str());
200 return addr_str + ena_str + clk_str;
201 }
202 };
203 struct write_port : read_port {
204 RTLIL::SigSpec mask;
205 write_port(string name, bool clk_enable, bool clk_parity, bool transparent, RTLIL::SigSpec clk, RTLIL::SigSpec ena, RTLIL::SigSpec addr, RTLIL::SigSpec mask) : read_port(name, clk_enable, clk_parity, transparent, clk, ena, addr), mask(mask) {
206 if (!clk.is_fully_def())
207 this->clk = SigSpec(RTLIL::Const(0));
208 if (!ena.is_fully_def())
209 this->ena = SigSpec(RTLIL::Const(0));
210 if (!mask.is_fully_def())
211 this->ena = SigSpec(RTLIL::Const(1));
212 }
213 string gen_read(const char * /* indent */) {
214 log_error("gen_read called on write_port: %s\n", name.c_str());
215 return stringf("gen_read called on write_port: %s\n", name.c_str());
216 }
217 string gen_write(const char * indent) {
218 string addr_expr = make_expr(addr);
219 string ena_expr = make_expr(ena);
220 string clk_expr = make_expr(clk);
221 string mask_expr = make_expr(mask);
222 string mask_str = stringf("%s%s.mask <= %s\n", indent, name.c_str(), mask_expr.c_str());
223 string addr_str = stringf("%s%s.addr <= %s\n", indent, name.c_str(), addr_expr.c_str());
224 string ena_str = stringf("%s%s.en <= %s\n", indent, name.c_str(), ena_expr.c_str());
225 string clk_str = stringf("%s%s.clk <= asClock(%s)\n", indent, name.c_str(), clk_expr.c_str());
226 return addr_str + ena_str + clk_str + mask_str;
227 }
228 };
229 /* Memories defined within this module. */
230 struct memory {
231 Cell *pCell; // for error reporting
232 string name; // memory name
233 int abits; // number of address bits
234 int size; // size (in units) of the memory
235 int width; // size (in bits) of each element
236 int read_latency;
237 int write_latency;
238 vector<read_port> read_ports;
239 vector<write_port> write_ports;
240 std::string init_file;
241 std::string init_file_srcFileSpec;
242 string srcLine;
243 memory(Cell *pCell, string name, int abits, int size, int width) : pCell(pCell), name(name), abits(abits), size(size), width(width), read_latency(0), write_latency(1), init_file(""), init_file_srcFileSpec("") {
244 // Provide defaults for abits or size if one (but not the other) is specified.
245 if (this->abits == 0 && this->size != 0) {
246 this->abits = ceil_log2(this->size);
247 } else if (this->abits != 0 && this->size == 0) {
248 this->size = 1 << this->abits;
249 }
250 // Sanity-check this construction.
251 if (this->name == "") {
252 log_error("Nameless memory%s\n", this->atLine());
253 }
254 if (this->abits == 0 && this->size == 0) {
255 log_error("Memory %s has zero address bits and size%s\n", this->name.c_str(), this->atLine());
256 }
257 if (this->width == 0) {
258 log_error("Memory %s has zero width%s\n", this->name.c_str(), this->atLine());
259 }
260 }
261 // We need a default constructor for the dict insert.
262 memory() : pCell(0), read_latency(0), write_latency(1), init_file(""), init_file_srcFileSpec(""){}
263
264 const char *atLine() {
265 if (srcLine == "") {
266 if (pCell) {
267 auto p = pCell->attributes.find("\\src");
268 srcLine = " at " + p->second.decode_string();
269 }
270 }
271 return srcLine.c_str();
272 }
273 void add_memory_read_port(read_port &rp) {
274 read_ports.push_back(rp);
275 }
276 void add_memory_write_port(write_port &wp) {
277 write_ports.push_back(wp);
278 }
279 void add_memory_file(std::string init_file, std::string init_file_srcFileSpec) {
280 this->init_file = init_file;
281 this->init_file_srcFileSpec = init_file_srcFileSpec;
282 }
283
284 };
285 dict<string, memory> memories;
286
287 void register_memory(memory &m)
288 {
289 memories[m.name] = m;
290 }
291
292 void register_reverse_wire_map(string id, SigSpec sig)
293 {
294 for (int i = 0; i < GetSize(sig); i++)
295 reverse_wire_map[sig[i]] = make_pair(id, i);
296 }
297
298 FirrtlWorker(Module *module, std::ostream &f, RTLIL::Design *theDesign) : module(module), f(f), design(theDesign), indent(" ")
299 {
300 }
301
302 static string make_expr(const SigSpec &sig)
303 {
304 string expr;
305
306 for (auto chunk : sig.chunks())
307 {
308 string new_expr;
309
310 if (chunk.wire == nullptr)
311 {
312 std::vector<RTLIL::State> bits = chunk.data;
313 new_expr = stringf("UInt<%d>(\"h", GetSize(bits));
314
315 while (GetSize(bits) % 4 != 0)
316 bits.push_back(State::S0);
317
318 for (int i = GetSize(bits)-4; i >= 0; i -= 4)
319 {
320 int val = 0;
321 if (bits[i+0] == State::S1) val += 1;
322 if (bits[i+1] == State::S1) val += 2;
323 if (bits[i+2] == State::S1) val += 4;
324 if (bits[i+3] == State::S1) val += 8;
325 new_expr.push_back(val < 10 ? '0' + val : 'a' + val - 10);
326 }
327
328 new_expr += "\")";
329 }
330 else if (chunk.offset == 0 && chunk.width == chunk.wire->width)
331 {
332 new_expr = make_id(chunk.wire->name);
333 }
334 else
335 {
336 string wire_id = make_id(chunk.wire->name);
337 new_expr = stringf("bits(%s, %d, %d)", wire_id.c_str(), chunk.offset + chunk.width - 1, chunk.offset);
338 }
339
340 if (expr.empty())
341 expr = new_expr;
342 else
343 expr = "cat(" + new_expr + ", " + expr + ")";
344 }
345
346 return expr;
347 }
348
349 std::string fid(RTLIL::IdString internal_id)
350 {
351 return make_id(internal_id);
352 }
353
354 std::string cellname(RTLIL::Cell *cell)
355 {
356 return fid(cell->name).c_str();
357 }
358
359 void process_instance(RTLIL::Cell *cell, vector<string> &wire_exprs)
360 {
361 std::string cell_type = fid(cell->type);
362 std::string instanceOf;
363 // If this is a parameterized module, its parent module is encoded in the cell type
364 if (cell->type.begins_with("$paramod"))
365 {
366 std::string::iterator it;
367 for (it = cell_type.begin(); it < cell_type.end(); it++)
368 {
369 switch (*it) {
370 case '\\': /* FALL_THROUGH */
371 case '=': /* FALL_THROUGH */
372 case '\'': /* FALL_THROUGH */
373 case '$': instanceOf.append("_"); break;
374 default: instanceOf.append(1, *it); break;
375 }
376 }
377 }
378 else
379 {
380 instanceOf = cell_type;
381 }
382
383 std::string cell_name = cellname(cell);
384 std::string cell_name_comment;
385 if (cell_name != fid(cell->name))
386 cell_name_comment = " /* " + fid(cell->name) + " */ ";
387 else
388 cell_name_comment = "";
389 // Find the module corresponding to this instance.
390 auto instModule = design->module(cell->type);
391 // If there is no instance for this, just return.
392 if (instModule == NULL)
393 {
394 log_warning("No instance for %s.%s\n", cell_type.c_str(), cell_name.c_str());
395 return;
396 }
397 wire_exprs.push_back(stringf("%s" "inst %s%s of %s", indent.c_str(), cell_name.c_str(), cell_name_comment.c_str(), instanceOf.c_str()));
398
399 for (auto it = cell->connections().begin(); it != cell->connections().end(); ++it) {
400 if (it->second.size() > 0) {
401 const SigSpec &secondSig = it->second;
402 const std::string firstName = cell_name + "." + make_id(it->first);
403 const std::string secondExpr = make_expr(secondSig);
404 // Find the direction for this port.
405 FDirection dir = getPortFDirection(it->first, instModule);
406 std::string sourceExpr, sinkExpr;
407 const SigSpec *sinkSig = nullptr;
408 switch (dir) {
409 case FD_INOUT:
410 log_warning("Instance port connection %s.%s is INOUT; treating as OUT\n", cell_type.c_str(), log_signal(it->second));
411 /* FALLTHRU */
412 case FD_OUT:
413 sourceExpr = firstName;
414 sinkExpr = secondExpr;
415 sinkSig = &secondSig;
416 break;
417 case FD_NODIRECTION:
418 log_warning("Instance port connection %s.%s is NODIRECTION; treating as IN\n", cell_type.c_str(), log_signal(it->second));
419 /* FALLTHRU */
420 case FD_IN:
421 sourceExpr = secondExpr;
422 sinkExpr = firstName;
423 break;
424 default:
425 log_error("Instance port %s.%s unrecognized connection direction 0x%x !\n", cell_type.c_str(), log_signal(it->second), dir);
426 break;
427 }
428 // Check for subfield assignment.
429 std::string bitsString = "bits(";
430 if (sinkExpr.compare(0, bitsString.length(), bitsString) == 0) {
431 if (sinkSig == nullptr)
432 log_error("Unknown subfield %s.%s\n", cell_type.c_str(), sinkExpr.c_str());
433 // Don't generate the assignment here.
434 // Add the source and sink to the "reverse_wire_map" and we'll output the assignment
435 // as part of the coalesced subfield assignments for this wire.
436 register_reverse_wire_map(sourceExpr, *sinkSig);
437 } else {
438 wire_exprs.push_back(stringf("\n%s%s <= %s", indent.c_str(), sinkExpr.c_str(), sourceExpr.c_str()));
439 }
440 }
441 }
442 wire_exprs.push_back(stringf("\n"));
443
444 }
445
446 // Given an expression for a shift amount, and a maximum width,
447 // generate the FIRRTL expression for equivalent dynamic shift taking into account FIRRTL shift semantics.
448 std::string gen_dshl(const string b_expr, const int b_width)
449 {
450 string result = b_expr;
451 if (b_width >= FIRRTL_MAX_DSH_WIDTH_ERROR) {
452 int max_shift_width_bits = FIRRTL_MAX_DSH_WIDTH_ERROR - 1;
453 string max_shift_string = stringf("UInt<%d>(%d)", max_shift_width_bits, (1<<max_shift_width_bits) - 1);
454 // Deal with the difference in semantics between FIRRTL and verilog
455 result = stringf("mux(gt(%s, %s), %s, bits(%s, %d, 0))", b_expr.c_str(), max_shift_string.c_str(), max_shift_string.c_str(), b_expr.c_str(), max_shift_width_bits - 1);
456 }
457 return result;
458 }
459
460 void run()
461 {
462 auto moduleFileinfo = getFileinfo(module->attributes);
463 f << stringf(" module %s: @[%s]\n", make_id(module->name), moduleFileinfo.c_str());
464 vector<string> port_decls, wire_decls, cell_exprs, wire_exprs;
465
466 for (auto wire : module->wires())
467 {
468 const auto wireName = make_id(wire->name);
469 auto wireFileinfo = getFileinfo(wire->attributes);
470
471 // If a wire has initial data, issue a warning since FIRRTL doesn't currently support it.
472 if (wire->attributes.count("\\init")) {
473 log_warning("Initial value (%s) for (%s.%s) not supported\n",
474 wire->attributes.at("\\init").as_string().c_str(),
475 log_id(module), log_id(wire));
476 }
477 if (wire->port_id)
478 {
479 if (wire->port_input && wire->port_output)
480 log_error("Module port %s.%s is inout!\n", log_id(module), log_id(wire));
481 port_decls.push_back(stringf(" %s %s: UInt<%d> @[%s]\n", wire->port_input ? "input" : "output",
482 wireName, wire->width, wireFileinfo.c_str()));
483 }
484 else
485 {
486 wire_decls.push_back(stringf(" wire %s: UInt<%d> @[%s]\n", wireName, wire->width, wireFileinfo.c_str()));
487 }
488 }
489
490 for (auto cell : module->cells())
491 {
492 static Const ndef(0, 0);
493
494 // Is this cell is a module instance?
495 if (cell->type[0] != '$')
496 {
497 process_instance(cell, wire_exprs);
498 continue;
499 }
500 // Not a module instance. Set up cell properties
501 bool extract_y_bits = false; // Assume no extraction of final bits will be required.
502 int a_width = cell->parameters.at("\\A_WIDTH", ndef).as_int(); // The width of "A"
503 int b_width = cell->parameters.at("\\B_WIDTH", ndef).as_int(); // The width of "A"
504 const int y_width = cell->parameters.at("\\Y_WIDTH", ndef).as_int(); // The width of the result
505 const bool a_signed = cell->parameters.at("\\A_SIGNED", ndef).as_bool();
506 const bool b_signed = cell->parameters.at("\\B_SIGNED", ndef).as_bool();
507 bool firrtl_is_signed = a_signed; // The result is signed (subsequent code may change this).
508 int firrtl_width = 0;
509 string primop;
510 bool always_uint = false;
511 string y_id = make_id(cell->name);
512
513 if (cell->type.in("$not", "$logic_not", "$neg", "$reduce_and", "$reduce_or", "$reduce_xor", "$reduce_bool", "$reduce_xnor"))
514 {
515 string a_expr = make_expr(cell->getPort("\\A"));
516 wire_decls.push_back(stringf(" wire %s: UInt<%d>\n", y_id.c_str(), y_width));
517
518 if (a_signed) {
519 a_expr = "asSInt(" + a_expr + ")";
520 }
521
522 // Don't use the results of logical operations (a single bit) to control padding
523 if (!(cell->type.in("$eq", "$eqx", "$gt", "$ge", "$lt", "$le", "$ne", "$nex", "$reduce_bool", "$logic_not") && y_width == 1) ) {
524 a_expr = stringf("pad(%s, %d)", a_expr.c_str(), y_width);
525 }
526
527 // Assume the FIRRTL width is a single bit.
528 firrtl_width = 1;
529 if (cell->type == "$not") primop = "not";
530 else if (cell->type == "$neg") {
531 primop = "neg";
532 firrtl_is_signed = true; // Result of "neg" is signed (an SInt).
533 firrtl_width = a_width;
534 } else if (cell->type == "$logic_not") {
535 primop = "eq";
536 a_expr = stringf("%s, UInt(0)", a_expr.c_str());
537 }
538 else if (cell->type == "$reduce_and") primop = "andr";
539 else if (cell->type == "$reduce_or") primop = "orr";
540 else if (cell->type == "$reduce_xor") primop = "xorr";
541 else if (cell->type == "$reduce_xnor") {
542 primop = "not";
543 a_expr = stringf("xorr(%s)", a_expr.c_str());
544 }
545 else if (cell->type == "$reduce_bool") {
546 primop = "neq";
547 // Use the sign of the a_expr and its width as the type (UInt/SInt) and width of the comparand.
548 a_expr = stringf("%s, %cInt<%d>(0)", a_expr.c_str(), a_signed ? 'S' : 'U', a_width);
549 }
550
551 string expr = stringf("%s(%s)", primop.c_str(), a_expr.c_str());
552
553 if ((firrtl_is_signed && !always_uint))
554 expr = stringf("asUInt(%s)", expr.c_str());
555
556 cell_exprs.push_back(stringf(" %s <= %s\n", y_id.c_str(), expr.c_str()));
557 register_reverse_wire_map(y_id, cell->getPort("\\Y"));
558
559 continue;
560 }
561 if (cell->type.in("$add", "$sub", "$mul", "$div", "$mod", "$xor", "$xnor", "$and", "$or", "$eq", "$eqx",
562 "$gt", "$ge", "$lt", "$le", "$ne", "$nex", "$shr", "$sshr", "$sshl", "$shl",
563 "$logic_and", "$logic_or", "$pow"))
564 {
565 string a_expr = make_expr(cell->getPort("\\A"));
566 string b_expr = make_expr(cell->getPort("\\B"));
567 wire_decls.push_back(stringf(" wire %s: UInt<%d>\n", y_id.c_str(), y_width));
568
569 if (a_signed) {
570 a_expr = "asSInt(" + a_expr + ")";
571 // Expand the "A" operand to the result width
572 if (a_width < y_width) {
573 a_expr = stringf("pad(%s, %d)", a_expr.c_str(), y_width);
574 a_width = y_width;
575 }
576 }
577 // Shift amount is always unsigned, and needn't be padded to result width,
578 // otherwise, we need to cast the b_expr appropriately
579 if (b_signed && !cell->type.in("$shr", "$sshr", "$shl", "$sshl", "$pow")) {
580 b_expr = "asSInt(" + b_expr + ")";
581 // Expand the "B" operand to the result width
582 if (b_width < y_width) {
583 b_expr = stringf("pad(%s, %d)", b_expr.c_str(), y_width);
584 b_width = y_width;
585 }
586 }
587
588 // For the arithmetic ops, expand operand widths to result widths befor performing the operation.
589 // This corresponds (according to iverilog) to what verilog compilers implement.
590 if (cell->type.in("$add", "$sub", "$mul", "$div", "$mod", "$xor", "$xnor", "$and", "$or"))
591 {
592 if (a_width < y_width) {
593 a_expr = stringf("pad(%s, %d)", a_expr.c_str(), y_width);
594 a_width = y_width;
595 }
596 if (b_width < y_width) {
597 b_expr = stringf("pad(%s, %d)", b_expr.c_str(), y_width);
598 b_width = y_width;
599 }
600 }
601 // Assume the FIRRTL width is the width of "A"
602 firrtl_width = a_width;
603 auto a_sig = cell->getPort("\\A");
604
605 if (cell->type == "$add") {
606 primop = "add";
607 firrtl_is_signed = a_signed | b_signed;
608 firrtl_width = max(a_width, b_width);
609 } else if (cell->type == "$sub") {
610 primop = "sub";
611 firrtl_is_signed = true;
612 int a_widthInc = (!a_signed && b_signed) ? 2 : (a_signed && !b_signed) ? 1 : 0;
613 int b_widthInc = (a_signed && !b_signed) ? 2 : (!a_signed && b_signed) ? 1 : 0;
614 firrtl_width = max(a_width + a_widthInc, b_width + b_widthInc);
615 } else if (cell->type == "$mul") {
616 primop = "mul";
617 firrtl_is_signed = a_signed | b_signed;
618 firrtl_width = a_width + b_width;
619 } else if (cell->type == "$div") {
620 primop = "div";
621 firrtl_is_signed = a_signed | b_signed;
622 firrtl_width = a_width;
623 } else if (cell->type == "$mod") {
624 primop = "rem";
625 firrtl_width = min(a_width, b_width);
626 } else if (cell->type == "$and") {
627 primop = "and";
628 always_uint = true;
629 firrtl_width = max(a_width, b_width);
630 }
631 else if (cell->type == "$or" ) {
632 primop = "or";
633 always_uint = true;
634 firrtl_width = max(a_width, b_width);
635 }
636 else if (cell->type == "$xor") {
637 primop = "xor";
638 always_uint = true;
639 firrtl_width = max(a_width, b_width);
640 }
641 else if (cell->type == "$xnor") {
642 primop = "xnor";
643 always_uint = true;
644 firrtl_width = max(a_width, b_width);
645 }
646 else if ((cell->type == "$eq") | (cell->type == "$eqx")) {
647 primop = "eq";
648 always_uint = true;
649 firrtl_width = 1;
650 }
651 else if ((cell->type == "$ne") | (cell->type == "$nex")) {
652 primop = "neq";
653 always_uint = true;
654 firrtl_width = 1;
655 }
656 else if (cell->type == "$gt") {
657 primop = "gt";
658 always_uint = true;
659 firrtl_width = 1;
660 }
661 else if (cell->type == "$ge") {
662 primop = "geq";
663 always_uint = true;
664 firrtl_width = 1;
665 }
666 else if (cell->type == "$lt") {
667 primop = "lt";
668 always_uint = true;
669 firrtl_width = 1;
670 }
671 else if (cell->type == "$le") {
672 primop = "leq";
673 always_uint = true;
674 firrtl_width = 1;
675 }
676 else if ((cell->type == "$shl") | (cell->type == "$sshl")) {
677 // FIRRTL will widen the result (y) by the amount of the shift.
678 // We'll need to offset this by extracting the un-widened portion as Verilog would do.
679 extract_y_bits = true;
680 // Is the shift amount constant?
681 auto b_sig = cell->getPort("\\B");
682 if (b_sig.is_fully_const()) {
683 primop = "shl";
684 int shift_amount = b_sig.as_int();
685 b_expr = std::to_string(shift_amount);
686 firrtl_width = a_width + shift_amount;
687 } else {
688 primop = "dshl";
689 // Convert from FIRRTL left shift semantics.
690 b_expr = gen_dshl(b_expr, b_width);
691 firrtl_width = a_width + (1 << b_width) - 1;
692 }
693 }
694 else if ((cell->type == "$shr") | (cell->type == "$sshr")) {
695 // We don't need to extract a specific range of bits.
696 extract_y_bits = false;
697 // Is the shift amount constant?
698 auto b_sig = cell->getPort("\\B");
699 if (b_sig.is_fully_const()) {
700 primop = "shr";
701 int shift_amount = b_sig.as_int();
702 b_expr = std::to_string(shift_amount);
703 firrtl_width = max(1, a_width - shift_amount);
704 } else {
705 primop = "dshr";
706 firrtl_width = a_width;
707 }
708 // We'll need to do some special fixups if the source (and thus result) is signed.
709 if (firrtl_is_signed) {
710 // If this is a "logical" shift right, pretend the source is unsigned.
711 if (cell->type == "$shr") {
712 a_expr = "asUInt(" + a_expr + ")";
713 }
714 }
715 }
716 else if ((cell->type == "$logic_and")) {
717 primop = "and";
718 a_expr = "neq(" + a_expr + ", UInt(0))";
719 b_expr = "neq(" + b_expr + ", UInt(0))";
720 always_uint = true;
721 firrtl_width = 1;
722 }
723 else if ((cell->type == "$logic_or")) {
724 primop = "or";
725 a_expr = "neq(" + a_expr + ", UInt(0))";
726 b_expr = "neq(" + b_expr + ", UInt(0))";
727 always_uint = true;
728 firrtl_width = 1;
729 }
730 else if ((cell->type == "$pow")) {
731 if (a_sig.is_fully_const() && a_sig.as_int() == 2) {
732 // We'll convert this to a shift. To simplify things, change the a_expr to "1"
733 // so we can use b_expr directly as a shift amount.
734 // Only support 2 ** N (i.e., shift left)
735 // FIRRTL will widen the result (y) by the amount of the shift.
736 // We'll need to offset this by extracting the un-widened portion as Verilog would do.
737 a_expr = firrtl_is_signed ? "SInt(1)" : "UInt(1)";
738 extract_y_bits = true;
739 // Is the shift amount constant?
740 auto b_sig = cell->getPort("\\B");
741 if (b_sig.is_fully_const()) {
742 primop = "shl";
743 int shiftAmount = b_sig.as_int();
744 if (shiftAmount < 0) {
745 log_error("Negative power exponent - %d: %s.%s\n", shiftAmount, log_id(module), log_id(cell));
746 }
747 b_expr = std::to_string(shiftAmount);
748 firrtl_width = a_width + shiftAmount;
749 } else {
750 primop = "dshl";
751 // Convert from FIRRTL left shift semantics.
752 b_expr = gen_dshl(b_expr, b_width);
753 firrtl_width = a_width + (1 << b_width) - 1;
754 }
755 } else {
756 log_error("Non power 2: %s.%s\n", log_id(module), log_id(cell));
757 }
758 }
759
760 if (!cell->parameters.at("\\B_SIGNED").as_bool()) {
761 b_expr = "asUInt(" + b_expr + ")";
762 }
763
764 string expr;
765 // Deal with $xnor == ~^ (not xor)
766 if (primop == "xnor") {
767 expr = stringf("not(xor(%s, %s))", a_expr.c_str(), b_expr.c_str());
768 } else {
769 expr = stringf("%s(%s, %s)", primop.c_str(), a_expr.c_str(), b_expr.c_str());
770 }
771
772 // Deal with FIRRTL's "shift widens" semantics, or the need to widen the FIRRTL result.
773 // If the operation is signed, the FIRRTL width will be 1 one bit larger.
774 if (extract_y_bits) {
775 expr = stringf("bits(%s, %d, 0)", expr.c_str(), y_width - 1);
776 } else if (firrtl_is_signed && (firrtl_width + 1) < y_width) {
777 expr = stringf("pad(%s, %d)", expr.c_str(), y_width);
778 }
779
780 if ((firrtl_is_signed && !always_uint))
781 expr = stringf("asUInt(%s)", expr.c_str());
782
783 cell_exprs.push_back(stringf(" %s <= %s\n", y_id.c_str(), expr.c_str()));
784 register_reverse_wire_map(y_id, cell->getPort("\\Y"));
785
786 continue;
787 }
788
789 if (cell->type.in("$mux"))
790 {
791 int width = cell->parameters.at("\\WIDTH").as_int();
792 string a_expr = make_expr(cell->getPort("\\A"));
793 string b_expr = make_expr(cell->getPort("\\B"));
794 string s_expr = make_expr(cell->getPort("\\S"));
795 wire_decls.push_back(stringf(" wire %s: UInt<%d>\n", y_id.c_str(), width));
796
797 string expr = stringf("mux(%s, %s, %s)", s_expr.c_str(), b_expr.c_str(), a_expr.c_str());
798
799 cell_exprs.push_back(stringf(" %s <= %s\n", y_id.c_str(), expr.c_str()));
800 register_reverse_wire_map(y_id, cell->getPort("\\Y"));
801
802 continue;
803 }
804
805 if (cell->type.in("$mem"))
806 {
807 string mem_id = make_id(cell->name);
808 int abits = cell->parameters.at("\\ABITS").as_int();
809 int width = cell->parameters.at("\\WIDTH").as_int();
810 int size = cell->parameters.at("\\SIZE").as_int();
811 memory m(cell, mem_id, abits, size, width);
812 int rd_ports = cell->parameters.at("\\RD_PORTS").as_int();
813 int wr_ports = cell->parameters.at("\\WR_PORTS").as_int();
814
815 Const initdata = cell->parameters.at("\\INIT");
816 for (State bit : initdata.bits)
817 if (bit != State::Sx)
818 log_error("Memory with initialization data: %s.%s\n", log_id(module), log_id(cell));
819
820 Const rd_clk_enable = cell->parameters.at("\\RD_CLK_ENABLE");
821 Const wr_clk_enable = cell->parameters.at("\\WR_CLK_ENABLE");
822 Const wr_clk_polarity = cell->parameters.at("\\WR_CLK_POLARITY");
823
824 int offset = cell->parameters.at("\\OFFSET").as_int();
825 if (offset != 0)
826 log_error("Memory with nonzero offset: %s.%s\n", log_id(module), log_id(cell));
827
828 for (int i = 0; i < rd_ports; i++)
829 {
830 if (rd_clk_enable[i] != State::S0)
831 log_error("Clocked read port %d on memory %s.%s.\n", i, log_id(module), log_id(cell));
832
833 SigSpec addr_sig = cell->getPort("\\RD_ADDR").extract(i*abits, abits);
834 SigSpec data_sig = cell->getPort("\\RD_DATA").extract(i*width, width);
835 string addr_expr = make_expr(addr_sig);
836 string name(stringf("%s.r%d", m.name.c_str(), i));
837 bool clk_enable = false;
838 bool clk_parity = true;
839 bool transparency = false;
840 SigSpec ena_sig = RTLIL::SigSpec(RTLIL::State::S1, 1);
841 SigSpec clk_sig = RTLIL::SigSpec(RTLIL::State::S0, 1);
842 read_port rp(name, clk_enable, clk_parity, transparency, clk_sig, ena_sig, addr_sig);
843 m.add_memory_read_port(rp);
844 cell_exprs.push_back(rp.gen_read(indent.c_str()));
845 register_reverse_wire_map(stringf("%s.data", name.c_str()), data_sig);
846 }
847
848 for (int i = 0; i < wr_ports; i++)
849 {
850 if (wr_clk_enable[i] != State::S1)
851 log_error("Unclocked write port %d on memory %s.%s.\n", i, log_id(module), log_id(cell));
852
853 if (wr_clk_polarity[i] != State::S1)
854 log_error("Negedge write port %d on memory %s.%s.\n", i, log_id(module), log_id(cell));
855
856 string name(stringf("%s.w%d", m.name.c_str(), i));
857 bool clk_enable = true;
858 bool clk_parity = true;
859 bool transparency = false;
860 SigSpec addr_sig =cell->getPort("\\WR_ADDR").extract(i*abits, abits);
861 string addr_expr = make_expr(addr_sig);
862 SigSpec data_sig =cell->getPort("\\WR_DATA").extract(i*width, width);
863 string data_expr = make_expr(data_sig);
864 SigSpec clk_sig = cell->getPort("\\WR_CLK").extract(i);
865 string clk_expr = make_expr(clk_sig);
866
867 SigSpec wen_sig = cell->getPort("\\WR_EN").extract(i*width, width);
868 string wen_expr = make_expr(wen_sig[0]);
869
870 for (int i = 1; i < GetSize(wen_sig); i++)
871 if (wen_sig[0] != wen_sig[i])
872 log_error("Complex write enable on port %d on memory %s.%s.\n", i, log_id(module), log_id(cell));
873
874 SigSpec mask_sig = RTLIL::SigSpec(RTLIL::State::S1, 1);
875 write_port wp(name, clk_enable, clk_parity, transparency, clk_sig, wen_sig[0], addr_sig, mask_sig);
876 m.add_memory_write_port(wp);
877 cell_exprs.push_back(stringf("%s%s.data <= %s\n", indent.c_str(), name.c_str(), data_expr.c_str()));
878 cell_exprs.push_back(wp.gen_write(indent.c_str()));
879 }
880 register_memory(m);
881 continue;
882 }
883
884 if (cell->type.in("$memwr", "$memrd", "$meminit"))
885 {
886 std::string cell_type = fid(cell->type);
887 std::string mem_id = make_id(cell->parameters["\\MEMID"].decode_string());
888 int abits = cell->parameters.at("\\ABITS").as_int();
889 int width = cell->parameters.at("\\WIDTH").as_int();
890 memory *mp = nullptr;
891 if (cell->type == "$meminit" ) {
892 log_error("$meminit (%s.%s.%s) currently unsupported\n", log_id(module), log_id(cell), mem_id.c_str());
893 } else {
894 // It's a $memwr or $memrd. Remember the read/write port parameters for the eventual FIRRTL memory definition.
895 auto addrSig = cell->getPort("\\ADDR");
896 auto dataSig = cell->getPort("\\DATA");
897 auto enableSig = cell->getPort("\\EN");
898 auto clockSig = cell->getPort("\\CLK");
899 Const clk_enable = cell->parameters.at("\\CLK_ENABLE");
900 Const clk_polarity = cell->parameters.at("\\CLK_POLARITY");
901
902 // Do we already have an entry for this memory?
903 if (memories.count(mem_id) == 0) {
904 memory m(cell, mem_id, abits, 0, width);
905 register_memory(m);
906 }
907 mp = &memories.at(mem_id);
908 int portNum = 0;
909 bool transparency = false;
910 string data_expr = make_expr(dataSig);
911 if (cell->type.in("$memwr")) {
912 portNum = (int) mp->write_ports.size();
913 write_port wp(stringf("%s.w%d", mem_id.c_str(), portNum), clk_enable.as_bool(), clk_polarity.as_bool(), transparency, clockSig, enableSig, addrSig, dataSig);
914 mp->add_memory_write_port(wp);
915 cell_exprs.push_back(stringf("%s%s.data <= %s\n", indent.c_str(), wp.name.c_str(), data_expr.c_str()));
916 cell_exprs.push_back(wp.gen_write(indent.c_str()));
917 } else if (cell->type.in("$memrd")) {
918 portNum = (int) mp->read_ports.size();
919 read_port rp(stringf("%s.r%d", mem_id.c_str(), portNum), clk_enable.as_bool(), clk_polarity.as_bool(), transparency, clockSig, enableSig, addrSig);
920 mp->add_memory_read_port(rp);
921 cell_exprs.push_back(rp.gen_read(indent.c_str()));
922 register_reverse_wire_map(stringf("%s.data", rp.name.c_str()), dataSig);
923 }
924 }
925 continue;
926 }
927
928 if (cell->type.in("$dff"))
929 {
930 bool clkpol = cell->parameters.at("\\CLK_POLARITY").as_bool();
931 if (clkpol == false)
932 log_error("Negative edge clock on FF %s.%s.\n", log_id(module), log_id(cell));
933
934 int width = cell->parameters.at("\\WIDTH").as_int();
935 string expr = make_expr(cell->getPort("\\D"));
936 string clk_expr = "asClock(" + make_expr(cell->getPort("\\CLK")) + ")";
937
938 wire_decls.push_back(stringf(" reg %s: UInt<%d>, %s\n", y_id.c_str(), width, clk_expr.c_str()));
939
940 cell_exprs.push_back(stringf(" %s <= %s\n", y_id.c_str(), expr.c_str()));
941 register_reverse_wire_map(y_id, cell->getPort("\\Q"));
942
943 continue;
944 }
945
946 // This may be a parameterized module - paramod.
947 if (cell->type.begins_with("$paramod"))
948 {
949 process_instance(cell, wire_exprs);
950 continue;
951 }
952 if (cell->type == "$shiftx") {
953 // assign y = a[b +: y_width];
954 // We'll extract the correct bits as part of the primop.
955
956 string a_expr = make_expr(cell->getPort("\\A"));
957 // Get the initial bit selector
958 string b_expr = make_expr(cell->getPort("\\B"));
959 wire_decls.push_back(stringf(" wire %s: UInt<%d>\n", y_id.c_str(), y_width));
960
961 if (cell->getParam("\\B_SIGNED").as_bool()) {
962 // Use validif to constrain the selection (test the sign bit)
963 auto b_string = b_expr.c_str();
964 int b_sign = cell->parameters.at("\\B_WIDTH").as_int() - 1;
965 b_expr = stringf("validif(not(bits(%s, %d, %d)), %s)", b_string, b_sign, b_sign, b_string);
966 }
967 string expr = stringf("dshr(%s, %s)", a_expr.c_str(), b_expr.c_str());
968
969 cell_exprs.push_back(stringf(" %s <= %s\n", y_id.c_str(), expr.c_str()));
970 register_reverse_wire_map(y_id, cell->getPort("\\Y"));
971 continue;
972 }
973 if (cell->type == "$shift") {
974 // assign y = a >> b;
975 // where b may be negative
976
977 string a_expr = make_expr(cell->getPort("\\A"));
978 string b_expr = make_expr(cell->getPort("\\B"));
979 auto b_string = b_expr.c_str();
980 string expr;
981 wire_decls.push_back(stringf(" wire %s: UInt<%d>\n", y_id.c_str(), y_width));
982
983 if (cell->getParam("\\B_SIGNED").as_bool()) {
984 // We generate a left or right shift based on the sign of b.
985 std::string dshl = stringf("bits(dshl(%s, %s), 0, %d)", a_expr.c_str(), gen_dshl(b_expr, b_width).c_str(), y_width);
986 std::string dshr = stringf("dshr(%s, %s)", a_expr.c_str(), b_string);
987 expr = stringf("mux(%s < 0, %s, %s)",
988 b_string,
989 dshl.c_str(),
990 dshr.c_str()
991 );
992 } else {
993 expr = stringf("dshr(%s, %s)", a_expr.c_str(), b_string);
994 }
995 cell_exprs.push_back(stringf(" %s <= %s\n", y_id.c_str(), expr.c_str()));
996 register_reverse_wire_map(y_id, cell->getPort("\\Y"));
997 continue;
998 }
999 if (cell->type == "$pos") {
1000 // assign y = a;
1001 // printCell(cell);
1002 string a_expr = make_expr(cell->getPort("\\A"));
1003 // Verilog appears to treat the result as signed, so if the result is wider than "A",
1004 // we need to pad.
1005 if (a_width < y_width) {
1006 a_expr = stringf("pad(%s, %d)", a_expr.c_str(), y_width);
1007 }
1008 wire_decls.push_back(stringf(" wire %s: UInt<%d>\n", y_id.c_str(), y_width));
1009 cell_exprs.push_back(stringf(" %s <= %s\n", y_id.c_str(), a_expr.c_str()));
1010 register_reverse_wire_map(y_id, cell->getPort("\\Y"));
1011 continue;
1012 }
1013 log_error("Cell type not supported: %s (%s.%s)\n", log_id(cell->type), log_id(module), log_id(cell));
1014 }
1015
1016 for (auto conn : module->connections())
1017 {
1018 string y_id = next_id();
1019 int y_width = GetSize(conn.first);
1020 string expr = make_expr(conn.second);
1021
1022 wire_decls.push_back(stringf(" wire %s: UInt<%d>\n", y_id.c_str(), y_width));
1023 cell_exprs.push_back(stringf(" %s <= %s\n", y_id.c_str(), expr.c_str()));
1024 register_reverse_wire_map(y_id, conn.first);
1025 }
1026
1027 for (auto wire : module->wires())
1028 {
1029 string expr;
1030
1031 if (wire->port_input)
1032 continue;
1033
1034 int cursor = 0;
1035 bool is_valid = false;
1036 bool make_unconn_id = false;
1037
1038 while (cursor < wire->width)
1039 {
1040 int chunk_width = 1;
1041 string new_expr;
1042
1043 SigBit start_bit(wire, cursor);
1044
1045 if (reverse_wire_map.count(start_bit))
1046 {
1047 pair<string, int> start_map = reverse_wire_map.at(start_bit);
1048
1049 while (cursor+chunk_width < wire->width)
1050 {
1051 SigBit stop_bit(wire, cursor+chunk_width);
1052
1053 if (reverse_wire_map.count(stop_bit) == 0)
1054 break;
1055
1056 pair<string, int> stop_map = reverse_wire_map.at(stop_bit);
1057 stop_map.second -= chunk_width;
1058
1059 if (start_map != stop_map)
1060 break;
1061
1062 chunk_width++;
1063 }
1064
1065 new_expr = stringf("bits(%s, %d, %d)", start_map.first.c_str(),
1066 start_map.second + chunk_width - 1, start_map.second);
1067 is_valid = true;
1068 }
1069 else
1070 {
1071 if (unconn_id.empty()) {
1072 unconn_id = next_id();
1073 make_unconn_id = true;
1074 }
1075 new_expr = unconn_id;
1076 }
1077
1078 if (expr.empty())
1079 expr = new_expr;
1080 else
1081 expr = "cat(" + new_expr + ", " + expr + ")";
1082
1083 cursor += chunk_width;
1084 }
1085
1086 if (is_valid) {
1087 if (make_unconn_id) {
1088 wire_decls.push_back(stringf(" wire %s: UInt<1>\n", unconn_id.c_str()));
1089 wire_decls.push_back(stringf(" %s is invalid\n", unconn_id.c_str()));
1090 }
1091 wire_exprs.push_back(stringf(" %s <= %s\n", make_id(wire->name), expr.c_str()));
1092 } else {
1093 if (make_unconn_id) {
1094 unconn_id.clear();
1095 }
1096 wire_decls.push_back(stringf(" %s is invalid\n", make_id(wire->name)));
1097 }
1098 }
1099
1100 for (auto str : port_decls)
1101 f << str;
1102
1103 f << stringf("\n");
1104
1105 for (auto str : wire_decls)
1106 f << str;
1107
1108 f << stringf("\n");
1109
1110 // If we have any memory definitions, output them.
1111 for (auto kv : memories) {
1112 memory &m = kv.second;
1113 f << stringf(" mem %s:\n", m.name.c_str());
1114 f << stringf(" data-type => UInt<%d>\n", m.width);
1115 f << stringf(" depth => %d\n", m.size);
1116 for (int i = 0; i < (int) m.read_ports.size(); i += 1) {
1117 f << stringf(" reader => r%d\n", i);
1118 }
1119 for (int i = 0; i < (int) m.write_ports.size(); i += 1) {
1120 f << stringf(" writer => w%d\n", i);
1121 }
1122 f << stringf(" read-latency => %d\n", m.read_latency);
1123 f << stringf(" write-latency => %d\n", m.write_latency);
1124 f << stringf(" read-under-write => undefined\n");
1125 }
1126 f << stringf("\n");
1127
1128 for (auto str : cell_exprs)
1129 f << str;
1130
1131 f << stringf("\n");
1132
1133 for (auto str : wire_exprs)
1134 f << str;
1135 }
1136 };
1137
1138 struct FirrtlBackend : public Backend {
1139 FirrtlBackend() : Backend("firrtl", "write design to a FIRRTL file") { }
1140 void help() YS_OVERRIDE
1141 {
1142 // |---v---|---v---|---v---|---v---|---v---|---v---|---v---|---v---|---v---|---v---|
1143 log("\n");
1144 log(" write_firrtl [options] [filename]\n");
1145 log("\n");
1146 log("Write a FIRRTL netlist of the current design.\n");
1147 log("The following commands are executed by this command:\n");
1148 log(" pmuxtree\n");
1149 log("\n");
1150 }
1151 void execute(std::ostream *&f, std::string filename, std::vector<std::string> args, RTLIL::Design *design) YS_OVERRIDE
1152 {
1153 size_t argidx = args.size(); // We aren't expecting any arguments.
1154
1155 // If we weren't explicitly passed a filename, use the last argument (if it isn't a flag).
1156 if (filename == "") {
1157 if (argidx > 0 && args[argidx - 1][0] != '-') {
1158 // extra_args and friends need to see this argument.
1159 argidx -= 1;
1160 filename = args[argidx];
1161 }
1162 }
1163 extra_args(f, filename, args, argidx);
1164
1165 if (!design->full_selection())
1166 log_cmd_error("This command only operates on fully selected designs!\n");
1167
1168 log_header(design, "Executing FIRRTL backend.\n");
1169 log_push();
1170
1171 Pass::call(design, stringf("pmuxtree"));
1172
1173 namecache.clear();
1174 autoid_counter = 0;
1175
1176 // Get the top module, or a reasonable facsimile - we need something for the circuit name.
1177 Module *top = design->top_module();
1178 Module *last = nullptr;
1179 // Generate module and wire names.
1180 for (auto module : design->modules()) {
1181 make_id(module->name);
1182 last = module;
1183 if (top == nullptr && module->get_bool_attribute("\\top")) {
1184 top = module;
1185 }
1186 for (auto wire : module->wires())
1187 if (wire->port_id)
1188 make_id(wire->name);
1189 }
1190
1191 if (top == nullptr)
1192 top = last;
1193
1194 auto circuitFileinfo = getFileinfo(top->attributes);
1195 *f << stringf("circuit %s: @[%s]\n", make_id(top->name), circuitFileinfo.c_str());
1196
1197 for (auto module : design->modules())
1198 {
1199 FirrtlWorker worker(module, *f, design);
1200 worker.run();
1201 }
1202
1203 namecache.clear();
1204 autoid_counter = 0;
1205 }
1206 } FirrtlBackend;
1207
1208 PRIVATE_NAMESPACE_END